scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1997"


Journal ArticleDOI
TL;DR: In this article, the fundamental gas transport properties of thin films of six high performance polymers were evaluated in the presence of silicon dioxide particles inside the 200 A.D. (DIA) pores of Anopore™ aluminum oxide membranes.

226 citations


Patent
08 May 1997
TL;DR: A polishing slurry for chemically mechanically polishing metal layers and films during the various stages of multilevel interconnect fabrication associated with integrated circuit manufacturing is described in this paper.
Abstract: A polishing slurry for chemically mechanically polishing metal layers and films during the various stages of multilevel interconnect fabrication associated with integrated circuit manufacturing. The slurry includes an aqueous medium, an abrasive, an oxidizing agent, and an organic acid. The polishing slurry has been found to significantly lower or inhibit the silicon dioxide polishing rate, thus yielding enhanced selectivity. In addition, the polishing slurry is useful in providing effective polishing to metal layers at desired polishing rates while minimizing surface imperfections and defects. Also disclosed is a method for producing coplanar metal/insulator films on a substrate utilizing the slurry of the present invention and chemical mechanical polishing technique relating thereto.

180 citations


Journal ArticleDOI
Roy G. Gordon1
TL;DR: A comparison of these processes can be found in this article in terms of ease of use of the precursors, attainable deposition rates, and safety and cost of the precursor materials.
Abstract: Chemical vapor deposition has been used to deposit films of a wide variety of materials. Those of particular interest to the glass industry include coatings of silicon, titanium nitride, and the oxides of silicon, aluminum, tin, zinc and transition metals, which can add very useful electrical and optical properties to glass. Several different chemical sources and reactions are used to deposit these materials. Comparison of these processes will be made in terms of ease of use of the precursors, attainable deposition rates, and safety and cost of the precursors. Equipment has been developed to deposit these materials with excellent thickness uniformity over large areas of glass. Fluorine-doped tin oxide and zinc oxide efficiently reflect infrared heat (low emissivity), thereby increasing the insulating ability of windows. These materials also conduct electricity, leading to a variety of applications, including solar cells, flat-panel displays, touch control panels, and static dissipation. Titanium nitride provides near-infrared reflectivity, which can provide high-performance rejection of solar heat (solar control glass). Silicon/silicon dioxide/silicon trilayers form durable mirror coatings with high reflectivity to visible light. Amorphous aluminum oxide films are excellent barriers to diffusion of sodium out of soda-lime glass.

122 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that the oxide/SiC interface would be inferior to the Si interface for both N-type and P-type SiC, if it were not for the beneficial effects of nitrogen incorporation.
Abstract: This letter addresses the question of why it is possible to grow high-quality oxide films on N-type but not on P-type SiC. It provides results which indicate that the oxide/SiC interface would be inferior to the oxide/Si interface for both N-type and P-type SiC, if it were not for the beneficial effects of nitrogen incorporation. The letter presents, for the first time, results on nitridation of thermally grown oxides in NO and N/sub 2/O. The results demonstrate that the oxides grown on P-type can be improved by NO annealing, but not by N/sub 2/O annealing.

115 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used standing modes of light in front of the reflecting surface of silicon to modulate the excitation and emission of fluorescent dyes, which was used to determine the disentance of a biomembrane from an oxidized silicon chip.
Abstract: Standing modes of light in front of the reflecting surface of silicon modulate the excitation and emission of fluorescent dyes. This effect was used to determine the dis- tance of a biomembrane from an oxidized silicon chip. The membrane of a red blood cell (ghost) was stained with a cya- nine dye and attached with poly-lysine to a surface structured with microscopic steps of silicon dioxide on silicon. The sys- tem was illuminated in a microscope. The fluorescence inten- sity of the membrane depended on the height of the steps. The data were fitted by an optical theory which accounts both for the interference of the exciting light and for the interference of the emitted light at a finite aperture. The distance between the membrane and the silicon dioxide was determined to be 12 nm.

112 citations


Patent
02 Jul 1997
TL;DR: In this article, a nonvolatile memory device having improved reliability is formed by oxidizing a first portion of a semiconductor substrate (12) to form a first silicon dioxide layer (14), and a floating gate is then formed overlying the nitrided oxide dielectric layer (22), which serves as tunnel oxide for the device.
Abstract: In one embodiment a non-volatile memory device having improved reliability is formed by oxidizing a first portion of a semiconductor substrate (12) to form a first silicon dioxide layer (14). The first silicon dioxide layer (14) is then annealed and second portion of the silicon substrate, underlying the annealed silicon dioxide layer (16), is then oxidized to form a second silicon dioxide layer (18). The annealed silicon dioxide layer (16) and the second silicon dioxide layer (18) form a pre-oxide layer (20). The pre-oxide layer (20) is then nitrided to form a nitrided oxide dielectric layer (22). A floating gate is then formed overlying the nitrided oxide dielectric layer (22), which serves as the tunnel oxide for the device. Tunnel oxides formed with the inventive process are less susceptible to stress-induced leakage, and therefore, devices with improved data retention and endurance may be fabricated.

73 citations


Journal ArticleDOI
TL;DR: The result suggests that surface induced unfolding may give rise to intermediates similar to those for unfolding induced by, for example GuHCl, the intermediate observed has some features of the molten globule.

71 citations


Journal ArticleDOI
TL;DR: In this paper, two methods of producing the Love wave guiding layer were utilized to fabricate devices based on ST-cut quartz and spin coating of polymethylmethacrylate (PMMA) films.
Abstract: Love wave surface acoustic wave devices are very promising as sensors in gaseous and liquid environments because of their high sensitivity. In this work, two methods of producing the Love wave guiding layer were utilized to fabricate devices based on ST-cut quartz. The methods involved sputter deposition of silicon dioxide films and spin coating of polymethylmethacrylate (PMMA) films. -cut quartz devices with thicknesses up to and PMMA/ST-cut quartz devices with PMMA thicknesses up to have been manufactured and compared. Mass sensitivity, insertion loss, temperature coefficient of oscillation frequency and frequency noise have been studied as a function of layer thickness. A number of hybrid devices consisting of PMMA film/ film/ST-cut quartz have also been assembled and evaluated. These devices exhibit higher sensitivity than the devices and the PMMA devices produced here.

69 citations


Journal ArticleDOI
TL;DR: In this paper, the results on silicon dioxide films grown by the direct plasma enhanced chemical vapor deposition (DPECVD) technique at low temperature were presented, and the results were compared with those obtained by the same method on silicon oxide films.
Abstract: In this work we present the results on silicon dioxide films grown by the direct plasma enhanced chemical vapor deposition (DPECVD) technique at low temperature (

68 citations


Patent
14 Aug 1997
TL;DR: A chemical mechanical polishing composition for polishing an oxide layer of a semiconductor device was proposed in this paper, where the ratio of the weight of the silicon dioxide in the composition to the cerium oxide is in the range from about 75:1 to about 1:1.
Abstract: A chemical mechanical polishing composition for polishing an oxide layer of a semiconductor device, the composition comprising an alkaline aqueous dispersion containing generally uniformly-shaped nanocrystalline particles of cerium oxide derived from a physical vapor synthesis process, generally uniformly-shaped particles of silicon dioxide, and wherein the cerium oxide particles are substantially the same or smaller in size and size distribution to the silicon dioxide particles The ratio of the weight of the silicon dioxide in the composition to the weight of the cerium oxide in the composition is in the range from about 75:1 to about 1:1

57 citations


Journal ArticleDOI
TL;DR: In this article, a high density nitrogen plasma generated with a helicon plasma source has been used to incorporate approximately 15 at. % nitrogen into the top 0.5 nm of a silicon dioxide layer.
Abstract: A high density nitrogen plasma generated with a helicon plasma source has been used to incorporate approximately 15 at. % nitrogen into the top 0.5 nm of a silicon dioxide layer. The surface nitridation was accomplished in 10 s with a high flux of low energy ions which were extracted from the high density nitrogen plasma and accelerated in the plasma sheath towards the surface an electrically floating silicon dioxide surface. A rf compensated Langmuir probe was used to measure the nitrogen ion energy and ion current density as a function of the nitrogen pressure and source power. The nitrogen ion energy, ion current density, and exposure time determine the nitrogen range and dose into the silicon dioxide surface. This process may be advantageous for nitriding the gate oxide in advanced complementary metal–oxide semiconductor process flows.

Journal ArticleDOI
TL;DR: In this paper, a self-assembled monolayer (SAM) of octylsiloxane on silicon dioxide was used as a resist sensitive to a patterned beam of neutral cesium atoms.
Abstract: This letter describes the formation of nanometer-scale features in a silicon substrate using a self-assembled monolayer (SAM) of octylsiloxane on silicon dioxide as a resist sensitive to a patterned beam of neutral cesium atoms. The mask that patterned the atomic beam was a silicon nitride membrane perforated with nm and μm scale holes, in contact with the substrate surface. In a two-step wet-chemical etching process, the pattern formed in the SAM was transferred first into the SiO2 layer and then into an underlying silicon substrate. This process demonstrated the formation of silicon features with diameter ∼60 nm.

Journal ArticleDOI
TL;DR: In this article, the photoluminescence mechanism of freshly prepared and naturally oxidized porous silicon by fluorescence-line-narrowing spectroscopy was studied, and the TO-phonon-related structure in resonantly excited luminescence was clearly observed in Hterminated porous silicon.
Abstract: We have studied the photoluminescence mechanism of freshly prepared and naturally oxidized porous silicon by fluorescence-line-narrowing spectroscopy. The surfaces of fresh and oxidized porous silicon are terminated by silicon hydrides and silicon dioxide, respectively. The TO-phonon-related structure in resonantly excited luminescence is clearly observed in H-terminated porous silicon. After surface oxidation, the luminescence intensity increases and a structure in the resonant luminescence appears due to the coupling of excitons and local vibrations at the surface. The effect of surface oxidation on the luminescence spectrum of Si nanocrystals is discussed.

Journal ArticleDOI
TL;DR: In this article, the authors describe the sol-gel process, where an orthosilicate ester is hydrolyzed with water to form a soluble, partially condensed polymer (sol) that can be spun on a substrate to produce a solvent-containing film.
Abstract: As on-chip device densities increase and active device dimensions shrink, signal delays and noise increase due to capacitive coupling and crosstalk between the metal interconnections. Since delays, noise, and power consumption all depend critically on the dielectric constant of the separating insulator, much attention has focused recently on replacing standard silicon dioxide with new intermetal dielectrics (IMDs) having dielectric constants considerably lower than conventional oxide ( k = 3.9–4.2). On-chip silicon dioxide insulators are currently deposited by gas-phase techniques such as chemical vapor deposition or plasma-enhanced chemical vapor deposition. Silicate films may also be formed at lower temperatures by sol-gel procedures. In the sol-gel process, typically an orthosilicate ester is hydrolyzed with water. This often occurs in an organic solvent to form a soluble, partially condensed polymer (sol) that can be spun on a substrate to produce a solvent-containing film. Subsequent solvent removal and curing results in the silicate film. The process involves hydrolysis to generate polyfunctional silanols followed by condensation polymerization to eventually yield a gel. Since both processes involve the substantial loss of volatile materials, considerable shrinkage occurs (75–85% is typical). Inhomogeneity of shrinkage or shrinkage on constraining substrates can often lead to cracking unless the films are very thin (often μ m). In the sol-gel process, a variety of techniques are employed to avoid capillary-driven cracking forces, including (1) very slow drying, (2) drying with supercritical fluids, or (3) chemically controlled condensation.

Patent
Lawrence D. Wong1
25 Nov 1997
TL;DR: In this article, a high density plasma chemical vapor deposition of fluorocarbon and hydrocarbon precursors is performed to create an air bridge between closely spaced interconnect lines, with little or no bias applied to the substrate.
Abstract: An air bridge between closely spaced interconnect lines is formed by a high density plasma chemical vapor deposition of fluorinated amorphous carbon. In one particular embodiment of the present invention, to create the air bridge, high density plasma chemical vapor deposition of fluorocarbon and hydrocarbon precursors, with little or no rf bias applied to the substrate is performed. For mechanical support of subsequently formed layers, the air bridge is capped by a hard mask layer, typically formed from an insulating material such as silicon dioxide, fluorinated silicon dioxide, or silicon nitride.

Patent
08 May 1997
TL;DR: In this article, a composition useful as a restorative material includes a curable matrix with whiskers which preferably have a silicon dioxide containing coating thereon that are then silinazed and may also include optional particulate filler of the type which may release fluorides.
Abstract: A composition useful as a restorative material includes a curable matrix with whiskers which preferably have a silicon dioxide containing coating thereon that are then silinazed and may also include optional particulate filler of the type which may release fluorides. The polymeric matrix bonds more tightly to the whiskers due to the coating of silicon dioxide on the surface of the whiskers and the coaction between said silicon dioxide and the silane compound. Particles adhered to the whisker also enhance the mechanical properties by virtue of the whisker's surface being thereby roughened. A method of manufacture is also disclosed.

Patent
29 Dec 1997
TL;DR: In this article, the authors used tetra-exthyloxysilane (TEOS) as the precursors, together with ozone (mixed with oxygen).
Abstract: Films of fluorinated silicon oxide, suitable for use as inter-metal dielectrics, have been deposited by means of CVD at reduced pressure using fluorotriethoxysilane (FTES) and tetra-exthyloxysilane (TEOS) as the precursors, together with ozone (mixed with oxygen). If tight control over the deposition conditions is exercised, high quality films having no surface damage and good step coverage, with no trapped voids, can be obtained. In a second embodiment of the invention, the TEOS is omitted and only FTES is used. Among the most important deposition parameters we include temperature at 400-500° C., pressure at 200-260 torr, ozone concentration (in oxygen) 8-12%, and an ozone:precursor ratio of 3-10 to 1 weight percent. In a third embodiment, a stacked layer is formed, consisting of at least one fluorinated silicon oxide layer and one silicon dioxide layer, deposited within the same deposition process by changing the TEOS or the FTES flow.

Journal ArticleDOI
TL;DR: In this article, the structures of silicon native oxides formed in the SC-1, H2O2 and wet ozone processes were characterized using X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and Fourier transform infrared spectrography (FT-IR).
Abstract: The structures of silicon native oxides formed in the SC-1, H2O2 and wet ozone processes were characterized using X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM) and Fourier transform infrared spectroscopy (FT-IR). Spectral simulation was performed to clarify the FT-IR spectra, assuming that the native oxide was pure silicon dioxide. Effective medium theories were applied to understand deviations of the observed spectra from the calculated ones. The deviations between the native oxide thickness evaluated by XPS and the absolute thickness obtained by TEM were also discussed. These deviations can be explained if the void is incorporated in the native oxides and the interface between the native oxide and the basal silicon obtained by the wet ozone process has a relatively smooth surface and a structure more similar to that of pure silicon dioxide, compared with that obtained by SC-1 or H2O2 treatment.

Proceedings ArticleDOI
16 Jun 1997-Sensors
TL;DR: In this paper, a combination of dry etching, thin layer growth and anodic bonding is proposed to create high resolution electrically isolating silicon dioxide structures with aspect ratio's similar to those possible in silicon.
Abstract: Novel glass and silicon microstructures and their application in chemical analysis are presented. The micro technologies comprise (deep) dry etching, thin layer growth and anodic bonding. With this combination it is possible to create high resolution electrically isolating silicon dioxide structures with aspect ratio's similar to those possible in silicon. Main applications are chemical separation methods such as high performance liquid chromatography (HPLC) or electrophoresis (HPCE). Beside these channel structures, a capillary connector with very low dead and mixing volume has been designed and fabricated for use in (correlation) electrophoresis, and tested by means of precision of consecutive single injections.

Patent
14 Mar 1997
TL;DR: In this paper, a precursor liquid comprising silicon in a xylene solvent is prepared, a substrate (5, 71) is placed within a vacuum deposition chamber, the precursor liquid is misted, and the mist is flowed into the deposition chamber while maintaining the chamber at ambient temperature to deposit a layer of the preconditioned liquid on the substrate.
Abstract: A precursor liquid (64) comprising silicon in a xylene solvent is prepared, a substrate (5, 71) is placed within a vacuum deposition chamber (2), the precursor liquid is misted, and the mist (66) is flowed into the deposition chamber while maintaining the chamber at ambient temperature to deposit a layer of the precursor liquid on the substrate. The liquid is dried, baked, and annealed to form a thin film (1224, 77) of silicon dioxide or silicon glass on the substrate. Then an integrated circuit (100) is completed to include at least a portion of the silicon dioxide or silicon glass layer as an insulator (77) for an electronic device (76) in the integrated circuit.

Journal ArticleDOI
TL;DR: In this paper, the authors measured the time-resolved photoluminescence (PL) decays of silicon nanocrystallites embedded in silicon dioxide and showed that PL can be detected even for films as thin as 100 A.

Patent
Salman Akram1
18 Aug 1997
TL;DR: In this paper, a process for creating silicon isolation regions which utilizes silicon islands or pillars as sources of silicon for silicon dioxide (or silicon oxide) fields is described, which can separate active areas within a device.
Abstract: A process for creating silicon isolation regions which utilizes silicon islands or pillars as sources of silicon for silicon dioxide (or silicon oxide) fields. These silicon oxide fields separate active areas within a device. By providing multiple sources of silicon for silicon oxide formation, the described invention minimizes the use of trench wall edges as silicon sources for silicon oxide growth. This reduction in stress helps to minimize encroachment and undergrowth or bird's beak formation. This process also leads to a reduced step height between the field oxide and active areas, thus providing a more planar wafer surface.

Journal ArticleDOI
TL;DR: Human serum albumin was bound to porous silica, using a reactive polymer derived from polymethacryloyl chloride, which resulted in higher retention of the enantiomers and better enantioselectivity.

Journal ArticleDOI
TL;DR: In this article, the authors proposed a method to improve the quality of the data collected by the data collection system of the Internet.Abstracts are not published in this journal. But
Abstract: Abstracts are not published in this journal

Journal ArticleDOI
TL;DR: In this paper, a double layer tungsten coil was used to detect trace amounts of impurities in silicon dioxide and silicon nitride, where the background was measured either with a high purity sample, the suspension medium or close to the analyte emission line, depending on matrix and analyte.
Abstract: Slurry sampling in combination with ETV-ICP-AES was employed for the direct determination of trace amounts of impurities in silicon dioxide and silicon nitride. The ETV device consisted of a double layer tungsten coil in a quartz apparatus. Spectral interferences and background emission caused by tungsten ablation of the coil were reduced by coating the coil with tungsten carbide. The background was measured either with a high-purity sample, the suspension medium or close to the analyte emission line, depending on matrix and analyte, or it was calculated using relative emission intensities of tungsten. The concentrations of Al, B, Be, Ca, Cd, Co, Cr, Cu, Fe, Mg, Mn, Ni, Pb and Zn were measured simultaneously, whereas K and Na were determined in the sequential mode. Calibration was performed using the standard additions method. The accuracy was checked by comparison with the results of independent methods. Limits of detection between 0.035 (Mg) and 130 µg g–1 (B) and between 0.01 (Be, Mg) and 34 µg g–1 (B) were achieved in silicon dioxide and silicon nitride, respectively.

Patent
13 Nov 1997
TL;DR: Etching residue, etching mask and silicon nitride and/or silicon dioxide are etched or removed employing a composition containing a fluoride containing compound, water and certain organic solvents as mentioned in this paper.
Abstract: Etching residue, etching mask and silicon nitride and/or silicon dioxide are etched or removed employing a composition containing a fluoride containing compound, water and certain organic solvents.

Journal ArticleDOI
TL;DR: In this article, a dual frequency (DF) multi-station sequential deposition plasma enhanced chemical vapor deposition (PECVD) reactor was used to deposit fluorine-doped silicon dioxide films with various Si-F content.
Abstract: Fluorine-doped silicon dioxide films were deposited using a dual frequency (DF) multi-station sequential deposition plasma enhanced chemical vapor deposition (PECVD) reactor. Fluorine-doped silicon dioxide films with various Si–F content were deposited using mixture of vaporized tetraethylorthosilicate (TEOS), O2 and C2F6. The Si–F content, physical properties and gap filling capability of the F-doped films were characterized. The physical properties and gap filling capability were found to be dependent upon wafer temperature, pressure, gas phase reactant concentrations and rf power, but is predominantly dependent on Si–F content. Aspect ratios (AR) up to 1.64:1 with 0.5 µm spacing were filled with no observable seams and voids.

Journal ArticleDOI
TL;DR: In this paper, an analytical model was established to predict the laser-induced periodic structures at silicon-dioxide/silicon and silicon dioxide/aluminum interfaces, and the model precisely predicts a linear relationship between the interface periodicity and the silicon dioxide thickness.
Abstract: An analytical model was established to predict the laser-induced periodic structures at silicon-dioxide/silicon and silicon-dioxide/aluminum interfaces. The freezing of surface waves is considered the dominant mechanism for ripple formation. The model precisely predicts a linear relationship between the interface periodicity and the silicon dioxide thickness. The ripple periodicity in the substrates can hence be adjusted by varying the thickness of SiO2 overlayer. This process is expected to be useful in laser microtexturing for magnetic media of high storage density, which requires microtextures to be well controlled within a certain roughness to prevent a stiction failure. The theoretical calculation has a good agreement with the experimental results.

Patent
21 May 1997
TL;DR: In this paper, a pair of glass substrates are used, in which a groove is formed in the surface of at least one of the substrates, which has a sample passage formed by the bonding method above-mentioned, a sample inlet port and a sample outlet port, and in which at least a portion of the passage is used as a measuring chamber.
Abstract: A detector cell in which a pair of glass substrates are used, in which a groove is formed in the surface of at least one of the glass substrates, which has a sample passage formed by the bonding method above-mentioned, a sample inlet port and a sample outlet port, and in which at least a portion of the passage is used as a measuring chamber. There is also formed an optical measuring apparatus having the detector cell above-mentioned and arranged to measure light transmitted through a sample flowing in the passage. According to the arrangement above, it is possible to readily mutually bond, at room temperature, substrates made of a material of glass, quartz or the like of which at least bonding surfaces contain silicon dioxide as the primary component. Further, the optical measuring apparatus having a detector cell produced using this bonding method can achieve a highly precise analysis.

Patent
03 Jun 1997
TL;DR: In this paper, the problem of obtaining a vapor depositing material which is a silicon oxide vapor deposition material for producing a packing material having gas barrier properties by being vapor-deposited on a substrate such as a high molecular film in the production of a porous vapor dispensing material, and in which the generation of a splash phenomenon can be suppressed even at the time of utilizing an electron beam heating vapor deposition method of a high output level for improving the productivity.
Abstract: PROBLEM TO BE SOLVED: To obtain a vapor depositing material which is a silicon oxide vapor depositing material for producing a packing material having gas barrier properties by being vapor-deposited on a substrate such as a high molecular film in the production of a porous vapor depositing material, and in which the generation of a splash phenomenon can be suppressed even at the time of utilizing an electron beam heating vapor depositing method of a high output level for improving the productivity, thereby a packing material having gas barrier properties can be produced at a low cost. SOLUTION: In the production of a porous vapor depositing material contg. metallic silicon and silicon oxide, in which the contents of alkali metals and alkali earth metals are regulated to <=1wt.%, the sealing porosity is regulated to <=10%, the maximum pore size is regulated to <=1mm, the bulk density is regulated to 30 to 70% of the true density and the atomic ratio of silicon to oxygen is regulated to 2 to 0.83, the power of metallic silicon and silicon oxide, a silica sol or alkoxy silane and a solvent are mixed and granulated, which is subjected to dry molding and firing. Furthermore, the above silicon oxide is composed of silicon dioxide, and 20% of the silicon dioxide has a quartz type crystal structure radiographically.