scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1999"


Journal ArticleDOI
TL;DR: It is shown that the protein filaments and their constituent subunits comprising the axial cores ofsilica spicules in a marine sponge chemically and spatially direct the polymerization of silica and silicone polymer networks from the corresponding alkoxide substrates in vitro, under conditions in which such syntheses otherwise require either an acid or base catalyst.
Abstract: Nanoscale control of the polymerization of silicon and oxygen determines the structures and properties of a wide range of siloxane-based materials, including glasses, ceramics, mesoporous molecular sieves and catalysts, elastomers, resins, insulators, optical coatings, and photoluminescent polymers. In contrast to anthropogenic and geological syntheses of these materials that require extremes of temperature, pressure, or pH, living systems produce a remarkable diversity of nanostructured silicates at ambient temperatures and pressures and at near-neutral pH. We show here that the protein filaments and their constituent subunits comprising the axial cores of silica spicules in a marine sponge chemically and spatially direct the polymerization of silica and silicone polymer networks from the corresponding alkoxide substrates in vitro, under conditions in which such syntheses otherwise require either an acid or base catalyst. Homology of the principal protein to the well known enzyme cathepsin L points to a possible reaction mechanism that is supported by recent site-directed mutagenesis experiments. The catalytic activity of the “silicatein” (silica protein) molecule suggests new routes to the synthesis of silicon-based materials.

767 citations


Journal ArticleDOI
05 Mar 1999-Science
TL;DR: An extended-solid phase, carbon dioxide phase V (CO2-V), was synthesized in a diamond anvil cell by laser heating the molecular orthorhombic phase,carbon dioxide phase III, above 40 gigapascals and 1800 kelvin.
Abstract: An extended-solid phase, carbon dioxide phase V (CO2-V), was synthesized in a diamond anvil cell by laser heating the molecular orthorhombic phase, carbon dioxide phase III, above 40 gigapascals and 1800 kelvin. This new material can be quenched to ambient temperature above 1 gigapascal. The vibration spectrum of CO2-V is similar to that of the quartz polymorph of silicon dioxide, indicating that it is an extended covalent solid with carbon-oxygen single bonds. This material is also optically nonlinear, generating the second harmonic of a neodymium–yttrium-lithium-fluoride laser at a wavelength of 527 nanometers with a conversion efficiency that is near 0.1 percent.

246 citations


Journal ArticleDOI
TL;DR: A sol-gel process was used to create highly porous and stable silica matrices to create microsensors with increased surface area for enzyme immobilization and significantly improved long term stability of enzyme activity.

158 citations


Journal ArticleDOI
TL;DR: In this article, a batch reaction system was used to convert carbon dioxide to C1-C3 compounds using different photoactive materials of metal oxide systems supported on magnesium oxide, aluminium oxide, and silicon dioxide.
Abstract: Photoreduction of carbon dioxide was performed using a batch reaction system. The catalysts tested were different photoactive materials of metal oxide systems supported on magnesium oxide, aluminium oxide and silicon dioxide. The conversion of carbon dioxide to C1–C3 compounds took place preferentially on basic oxide supported systems. Acidic oxide supported catalysts showed more selectivity to C1 compounds. The trend of C1–C3 improved selectivity remained the same, irrespective of the tested photoactive material. X-ray, SEM and reflectance data revealed no change in the characteristics of the photocatalysts during the 6 h of the batch reaction. The reaction mechanism for the formation of C1–C3 is discussed.

153 citations


Journal ArticleDOI
TL;DR: The non-Arrhenius temperature dependence observed in the charge-to-breakdown data in thin oxides is related to the temperature dependence of the defect buildup in the same films as discussed by the authors.
Abstract: The non-Arrhenius temperature dependence observed in the charge-to-breakdown data in thin oxides is related to the temperature dependence of the defect buildup in the same films For each temperature, this defect buildup is studied as a function of the defect generation probability and the total number of defects at breakdown Each of these quantities is shown to have its own unique temperature dependence, which when combined gives the results observed for the charge-to-breakdown data As the oxide layers are made thinner, the temperature dependence of the defect generation probability dominates these observations

111 citations


Journal ArticleDOI
TL;DR: In this article, a soft x-ray photoelectron spectroscopy (SXPS) was used to study ultrathin (9-22 A) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation.
Abstract: Device-grade ultrathin (9–22 A) films of silicon dioxide, prepared from crystalline silicon by remote-plasma oxidation, are studied by soft x-ray photoelectron spectroscopy (SXPS). The 2p core-level spectra for silicon show evidence of five distinct states of Si, attributable to the five oxidation states of silicon between Si0 (the Si substrate) and Si4+ (the thin SiO2 film). The relative binding energy shifts for peaks Si1+ through Si4+ (with respect to Si0) are in agreement with earlier work. The relatively weaker signals found for the three intermediate states (I1, I2, and I3) are attributed to silicon atoms at the abrupt interface between the thin SiO2 film and substrate. Estimates of the interface state density from these interface signals agree with the values reported earlier of ∼2 monolayers (ML). The position and intensity of the five peaks are measured as a function of post-growth annealing temperature, crystal orientation, and exposure to He/N2 plasma. We find that annealing produces more abrup...

100 citations


Journal ArticleDOI
TL;DR: In this paper, the etch rate and selectivity behaviors for silicon dioxide, silicon, and passively deposited fluorocarbon films were studied using in situ ellipsometry, and they were obtained for a self-bias voltage of −85 V.
Abstract: Selective etching of SiO2 over polycrystalline silicon has been studied using CHF3 in an inductively coupled plasma reactor (ICP). Inductive powers between 200 and 1400 W, as well as pressures of 6, 10, and 20 mTorr were used in this study of the etch rate and selectivity behaviors for silicon dioxide, silicon, and passively deposited fluorocarbon films. Using in situ ellipsometry, the etch rates for all three of these materials were obtained for a self-bias voltage of −85 V, as well as passive deposition rates of fluorocarbon films. X-ray photoelectron spectroscopy has been used to examine the composition of steady-state fluorocarbon films present on the surfaces of polycrystalline silicon, and silicon dioxide during etching at high and low inductive powers. The dependence of the silicon etching behavior is shown to be clearly linked to the fluorocarbon polymerization and etching behavior. Thus, the polymerization and etching behavior of the fluorocarbon is the overwhelming parameter that governs the etc...

78 citations


Patent
07 May 1999
TL;DR: In this paper, the authors describe a two-mask fabrication process for microelectromechanical (MEM) devices such as cantilever supported beams, which requires only two lithographic masking steps and offers moveable electromechanical devices with high electrical isolation.
Abstract: The present invention relates to a fabrication process relating to a fabrication process for manufacture of micro-electromechanical (MEM) devices such as cantilever supported beams. This fabrication process requires only two lithographic masking steps and offers moveable electromechanical devices with high electrical isolation. A preferred embodiment of the process uses electrically insulating glass substrate as the carrier substrate and single crystal silicon as the MEM component material. The process further includes deposition of an optional layer of insulating material such as silicon dioxide on top of a layer of doped silicon grown on a silicon substrate. The silicon dioxide is epoxy bonded to the glass substrate to create a silicon--silicon dioxide-epoxy-glass structure. The silicon is patterned using anisotropic plasma dry etching techniques. A second patterning then follows to pattern the silicon dioxide layer and an oxygen plasma etch is performed to undercut the epoxy film and to release the silicon MEM component. This two-mask process provides single crystal silicon MEMs with electrically isolated MEM component. Retaining silicon dioxide insulating material in selected areas mechanically supports the MEM component.

74 citations


Book
04 Oct 1999
TL;DR: In this article, the properties of infared transparent substrates, the measurement of oxygen and carbon in silicon, the calculation of epitexial layer thickness, the characterization of silicon dioxide and silicon nitride thin films, characterization of PSG and BPSG, and various applications of infrared spectroscopy in microelectronics.
Abstract: Introduction to infrared spectroscopy the properties of infared transparent substrates the measurement of oxygen and carbon in silicon the calculation of epitexial layer thickness the characterization of silicon dioxide and silicon nitride thin films the characterization of PSG and BPSG the characterization of amorphous silicon and related materials miscellaneous applications of infrared spectroscopy in microelectronics.

74 citations


Patent
24 Mar 1999
TL;DR: In this paper, a liquid crystal array and associated drive circuitry are monolithically formed on a silicon-on-sapphire structure, and are fabricated by a method comprising the steps of: a) forming an epitaxial silicon layer on a sapphire substrate, b) ion implanting, annealing, oxidizing, and removing the silicon dioxide layer.
Abstract: A liquid crystal array and associated drive circuitry are monolithically formed on a silicon-on-sapphire structure, and are fabricated by a method comprising the steps of: a) forming an epitaxial silicon layer on a sapphire substrate to create a silicon-on-sapphire structure; b) ion implanting the epitaxial silicon layer; c) annealing the silicon-on-sapphire structure; d) oxidizing the epitaxial silicon layer to form a silicon dioxide layer from a portion of the epitaxial silicon layer so that a thinned epitaxial silicon layer remains; e) removing the silicon dioxide to expose the thinned epitaxial silicon layer; f) fabricating an array of pixels from the thinned epitaxial silicon layer wherein each of the pixels includes a liquid crystal capacitor; and g) fabricating integrated circuitry from the thinned epitaxial silicon layer which is operably coupled to modulate the pixels. The thinned epitaxial silicon supports the fabrication of device quality circuitry on the silicon-on-sapphire structure which is used to control the operation of the pixels.

52 citations


Journal ArticleDOI
TL;DR: A quantitative analysis of diffusion of iron in silicon dioxide is presented in this article, where a source of iron deposited on the surface of thermally oxidized silicon wafers was diffused at temperatures ranging from 700-1100°C in an inert (nitrogen) ambient.
Abstract: A quantitative analysis of diffusion of iron in silicon dioxide is presented. A source of iron deposited on the surface of thermally oxidized silicon wafers was diffused at temperatures ranging from 700-1100°C in an inert (nitrogen) ambient. The iron concentration in SiO 2 and Si was measured using total reflection X-ray fluorescence, deep level transient spectroscopy, and surface photovoltage techniques. A two-boundary diffusion model was applied to the experimental data to determine the diffusivity and segregation coefficient of iron in SiO 2 . It is observed that iron diffusivity in SiO 2 follows the Arrhenius relationship and has a thermal activation energy of 1.51 eV. Iron exhibits a strong tendency to segregate into silicon dioxide and has a value of k = 1.1 × 10 -7 at 1000°C, where k = N Si /N oxide .

Patent
20 Sep 1999
TL;DR: In this paper, a method for depositing silicon dioxide between features has been achieved, which may be applied intermetal dielectrics, interlevel dielectric, or shallow trench isolations.
Abstract: A method for depositing silicon dioxide between features has been achieved. The method may be applied intermetal dielectrics, interlevel dielectric, or shallow trench isolations. This method prevents dielectric voids, corner clipping, and plasma induced damage in very small feature applications. Features, such as conductive traces, are provided overlying a semiconductor substrate where the spaces between the features form gaps. A silicon dioxide liner layer is deposited overlying the features and lining the gaps, yet leaving the gaps open. The silicon dioxide liner layer depositing step is by high density plasma, chemical vapor deposition (HDP CVD) using a gas mixture comprising silane, oxygen, and argon. The argon gas pressure, chamber pressure, and the sputter rf energy are kept low. A silicon dioxide gap filling layer is deposited overlying the silicon dioxide liner layer to fill the gaps, and the integrated circuit device is completed. The silicon dioxide gap filling layer depositing step is by high density plasma, chemical vapor deposition (HDP CVD) using a gas mixture comprising silane, oxygen, and argon. The argon gas pressure and chamber pressure are kept low while the sputter rf energy is increased.

Patent
13 Oct 1999
TL;DR: In this paper, a pyrogenically produced silicon dioxide doped with aluminum oxide by means of an aerosol is produced by introducing an aqueous aerosol of an aluminum salt into the flame of a pyrogensic silica producing flame hydrolysis method or a flame oxidation method.
Abstract: Pyrogenically produced silicon dioxide doped with aluminum oxide by means of an aerosol is produced by introducing an aqueous aerosol of an aluminum salt into the flame of a pyrogenic silica producing flame hydrolysis method or a flame oxidation method. The silicon dioxide doped with Al 2 O 3 by means of an aerosol may inter alia be used in the production of inkjet paper or inkjet films.

01 Jan 1999
TL;DR: In this article, double layers of silicon dioxide and silicon nitride were used for charge storage and discharge in an open-circuit setup with a temperature ramp of 200"Ck. At room temperature the measured surface potential V was stable during a period of almost three years.
Abstract: Experimental results on charge storage and discharge in double layers of silicon dioxide and silicon nitride will be reported and discussed. Si02 with a thickness of 300 nm was thermally grown on silicon wafers, while cover layers of Si3N4 with thicknesses of 50,100, and 150 nm were deposited chemically at atmospheric pressure. The samples were charged by the pointto-grid corona method. At room temperature, the measured surface potential V was stable during a period of almost three years. Isothermal measurements under different environmental conditions showed an improved charge retention compared to a single layer of thermally grown silicon dioxide. After -3 h at 300"C, the observed voltage drop was 40% for the double layers and -60% for bare SiO2. Similar results were obtained urtder a humid condition of 95%RH and 60°C. Besides, thermally stimulated current (TSC) was measured in an open-circuit setup with a temperature ramp of 200"Ck. For the double layers, a current peak with a maximum temperature at -500°C was observed. The measured current in! the range of 300 to 400"C, the location of current maxima observed in thermally grown silicon dioxide or APCVD silicon nitride, was negligible. In addition to improved electret properties the internal stress in the investigated double layers can be adjusted by a proper thickness ratici of oxide layer to nitride layer. Therefore double layers of silicon dioxide and nitride seem to be promising materials for integrated sensors and actuators based on the electret effect.

Patent
16 Nov 1999
TL;DR: A polishing composition for a memory hard disc, which comprises the following components (a) to (d): water, (b) at least one compound selected from the group consisting of a polystyrenesulfonic acid, and its salts, other than component (b), and (c) a compound selected consisting of an inorganic acid and an organic acid.
Abstract: A polishing composition for a memory hard disc, which comprises the following components (a) to (d): (a) water, (b) at least one compound selected from the group consisting of a polystyrenesulfonic acid, and its salts, (c) a compound selected from the group consisting of an inorganic acid and an organic acid, and their salts, other than component (b), and (d) at least one abrasive selected from the group consisting of aluminum oxide, silicon dioxide, cerium oxide, zirconium oxide, titanium oxide, silicon nitride and manganese dioxide.

Journal ArticleDOI
TL;DR: In this article, double layers of silicon dioxide and silicon nitride were used for charge storage and discharge in a point-to-grid corona method and the performance of the double layers was investigated.
Abstract: Experimental results on charge storage and discharge in double layers of silicon dioxide and silicon nitride will be reported and discussed. SiO/sub 2/ with a thickness of 300 nm was thermally grown on silicon wafers, while cover layers of Si/sub 3/N/sub 4/ with thicknesses of 50, 100, and 150 nm were deposited chemically at atmospheric pressure. The samples were charged by the point-to-grid corona method. At room temperature, the measured surface potential V was stable during a period of almost three years. Isothermal measurements under different environmental conditions showed an improved charge retention compared to a single layer grown silicon dioxide. After /spl sim/3 h at 300/spl deg/C, the observed voltage drop was <10% for the double layers and /spl sim/60% for bare SiO/sub 2/. Similar results were obtained under a humid condition of 95%RH and 60/spl deg/C. Besides, thermally stimulated current (TSC) was measured in setup with a temperature ramp of 200/spl deg/C/h. For the double layers, a current peak with a maximum temperature at /spl sim/500/spl deg/C was observed. The measured current in the range of 300 to 400/spl deg/C, the location of current maxima observed in thermally grown silicon dioxide or APCVD silicon nitride, was negligible. In addition to improved electret properties the internal stress in the investigated double layers can be adjusted by a proper thickness ratio of oxide layer to nitride layer. Therefore double layers of silicon dioxide and nitride seem to be promising materials for integrated sensors and actuators based on the electret effect.

Journal ArticleDOI
TL;DR: In this article, the interactions of two reactive maleic anhydride copolymers with amino-functionalized surfaces were studied by means of FTIR-ATR spectroscopy, and the interfacial processes were detected at a planar surface of a thermally oxidized silicon as internal reflecting element.
Abstract: The interactions of two reactive maleic anhydride copolymers, a styrene maleic anhydride copolymer and an alternating copolymer of maleic anhydride and α-olefin side chains, with amino-functionalized surfaces were studied by means of FTIR-ATR spectroscopy. The interfacial processes were detected at a planar surface of a thermally oxidized silicon as internal reflecting element. This ATR element can be understood as a model for a glass fiber which is used as reinforcing material in polymer matrices. A typical glass fiber coupling agent, γ-aminopropyltriethoxysilane (γ-APS), was used to functionalize the silicon dioxide layer. It was found that the amino groups of the amino siloxane network layer, which were obtained by a coating procedure with γ-APS. react with the anhydride groups of the copolymer melt to form amic acid structures and imide structures depending on the chemical composition of the copolymers and the temperature.

Patent
11 Feb 1999
TL;DR: In this paper, the authors describe a process of controlled wet etching of semiconductor wafers having a silicon dioxide layer on each of two surfaces, which includes entirely removing the silicon oxide layer from a top side and selectively removing it from the opposite side bottom in a defined area.
Abstract: A process of controlled wet etching of semiconductor wafers having a silicon dioxide layer on each of two surfaces, includes entirely removing the silicon dioxide layer from a top side and selectively removing the silicon dioxide layer from the opposite side bottom in a defined area which extends to the inside from the peripheral edge of the semiconductor wafer using an etching medium which includes hydrofluoric acid or a combination of hydrofluoric acid and ammonium fluoride and at least one carboxylic acid.

Patent
Nobuo Aoi1
24 Feb 1999
TL;DR: In this article, a phenyl group, bonded to a silicon atom, is introduced into silicon dioxide in the organic-containing silicon dioxide to insulate the metal interconnects.
Abstract: An interconnection structure includes an interlevel insulating film, made of organic-containing silicon dioxide, between lower- and upper-level metal interconnects. A phenyl group, bonded to a silicon atom, is introduced into silicon dioxide in the organic-containing silicon dioxide.

Patent
S. Crowder1, Anthony G. Domenicucci1, Liang-Kai Han1, Michael J. Hargrove1, Paul Ronsheim1 
14 Jan 1999
TL;DR: A semiconductor structure having silicon dioxide layers of different thicknesses is fabricated by forming a sacrificial silicon dioxide layer on the surface of a substrate, implanting nitrogen ions through the sacrificial silicone dioxide layer into first areas of the semiconductor substrate; implanting chlorine and/or bromine ions throughthe sacrificial SILO layer into second areas where silicon dioxide having the highest thickness is to be formed; removing the SLO layer; and then growing a layer of silicon dioxide on the polysilicon substrate as discussed by the authors.
Abstract: A semiconductor structure having silicon dioxide layers of different thicknesses is fabricated by forming a sacrificial silicon dioxide layer on the surface of a substrate; implanting nitrogen ions through the sacrificial silicon dioxide layer into first areas of the semiconductor substrate; implanting chlorine and/or bromine ions through the sacrificial silicon dioxide layer into second areas of the semiconductor substrate where silicon dioxide having the highest thickness is to be formed; removing the sacrificial silicon dioxide layer; and then growing a layer of silicon dioxide on the surface of the semiconductor substrate. The growth rate of the silicon dioxide will be faster in the areas containing the chlorine and/or bromine ions and therefore the silicon dioxide layer will be thicker in those regions as compared to the silicon dioxide layer in the regions not containing the chlorine and/or bromine ions. The growth rate of the silicon dioxide will be slower in the areas containing the nitrogen ions and therefore the silicon dioxide layer will be thinner in those regions as compared to the silicon dioxide layer in the regions not containing the nitrogen ions. Also provided are structures obtained by the above process.

Journal ArticleDOI
TL;DR: In this article, the dielectric properties of various silicon oxynitride thin films (∼30 nm), deposited by low pressure chemical vapour deposition (LPCVD) technique, are studied by capacitancevoltage, current-voltage and ageing under constant current injection.

Journal ArticleDOI
TL;DR: In this article, an experimental analysis of primary etch characteristics in reactive ion etching of silicon nitride using chlorine-and/or fluorine-based organic and inorganic chemistries (CCl2F2+O2, CHF3+O 2, SiF4+O&O&H) is presented.
Abstract: Silicon nitride is an important material layer in various types of microelectronic devices. Because of continuous integration of devices, patterning of this layer requires a highly selective and anisotropic etching process. Reactive ion etching is one of the most simple and popular plasma processes. The present work is an experimental analysis of primary etch characteristics in reactive ion etching of silicon nitride using chlorine- and/or fluorine-based organic and inorganic chemistries (CCl2F2+O2, CHF3+O2, SiF4+O2, SF6+O2, and SF6+He) in order to obtain a simultaneous etch selectivity against polysilicon and silicon dioxide. A recipe, in CCl2F2/O2plasma chemistry, which provides acceptable etch characteristics, along with a reasonable simultaneous selectivity against polysilicon and silicon dioxide, has been formulated.


Journal ArticleDOI
TL;DR: In this paper, the authors present a chemical modification process to grow silicon dioxide (SiO2) on a gallium arsenide (GaAs) substrate using liquid phase deposition (LPD) at extremely low temperature (∼40°C).
Abstract: This article presents a chemical modification process to grow silicon dioxide (SiO2) on a gallium arsenide (GaAs) substrate using liquid phase deposition (LPD) at extremely low temperature (∼40 °C). In this process, pretreatment of the wafer by ammonia solution with buffer kept at pH=11–12 enriches OH radical formation on the GaAs surface, enhancing SiO2 deposition, providing good film quality, and reliability. The LPD SiO2 deposition rate on GaAs substrate is up to 1303 A/h. The refractive index of the LPD SiO2 film on GaAs substrate is about 1.423 with growth at 40 °C. When the LPD SiO2 film on GaAs substrate is used to fabricate a metal–oxide–semiconductor capacitor, the surface charge density (Qss/q) is about 3.7×1011 cm−2 and the leakage current is 43.3 pA at −5 V. A mechanism for the deposition of silicon dioxide on a GaAs substrate is proposed.

Journal ArticleDOI
TL;DR: In this paper, it was shown that silicon oxynitride gate dielectrics suppress phosphorus diffusion, as compared to pure silicon dioxide dielectric, and that the implantation of fluorine into the polycrystalline silicon gate enhances phosphorus diffusion.
Abstract: Evidence is presented that silicon oxynitride gate dielectrics suppress phosphorus diffusion, as compared to pure silicon dioxide dielectrics. Furthermore, the implantation of fluorine into the polycrystalline silicon gate enhances phosphorus diffusion. Both effects are similar to what has been observed with boron diffusion in silicon oxide and oxynitride. These results suggest a general model for diffusion in oxynitrides, in which network-forming cations (A = B, P, As, Si, Ge) diffuse substitutionally for Si as AOx, and the role of nitrogen is to block diffusion by impeding the rearrangement of SiO4 tetrahedra. © 1999 The Electrochemical Society. S1099-0062(99)04-105-X. All rights reserved.

Journal ArticleDOI
TL;DR: In this article, the authors have shown that hexamethyldisilazane (HDS)-SiO2 films have a nearly stochastic composition, good step coverage and thickness uniformity.
Abstract: Silicon dioxide films have been deposited on silicon substrates at low temperature (50°C) by plasma enhanced decomposition of hexamethyldisilazane (HMDS). Physical and chemical properties of as-deposited HMDS–SiO2 films have been determined by refractive index, etch rate and stress measurements, infrared transmission spectra, and secondary ion mass spectroscopy. Film properties have been measured as a function of various process parameters including O2 flow rate, chamber pressure, and current intensity. These studies have shown that HMDS–SiO2 are deposited with a nearly stoichoimetry composition, good step coverage and thickness uniformity. Post-deposition annealing treatment in N2 ambient for 2 h at moderate temperature (100°C) results in a reduction of the internal stress and in removal of residual impurities, such as absorbed water or –OH groups.

01 Jan 1999
TL;DR: In this paper, an LPCVD polycrystalline silicon layer is deposited over the surface of a high-resistivity silicon wafer which is then covered with a silicon dioxide layer.
Abstract: This letter proposes a solution to the surface conduc- tion problem in silicon monolithic microwave integrated circuits (MMIC's). An LPCVD polycrystalline silicon layer is deposited over the surface of a high-resistivity silicon wafer which is then covered with a silicon dioxide layer. The polycrystalline silicon layer effectively removes, through traps, any free electrons or holes that may have been induced at the oxide-silicon interface. The CPW lines with 1.25- m aluminum metallization on passi- vated HRS substrates have an attenuation loss at 30 GHz of only 1.08 dB/cm.

Journal Article
TL;DR: In this article, a technological process aimed at realizing passivated porous silicon (PS) layers as the thermo-insulating material for thin as well as thick film gas sensor applications is reported and discussed.
Abstract: A technological process aimed at realizing passivated porous silicon (PS) layers as the thermo-insulating material for thin as well as thick film gas sensor applications is reported and discussed. Oxidized PS (OPS) layers (5 to 35 μm thick) have been realized on p-Si substrates using the Si anodization process followed by PS thermal oxidation. The thick SiO 2 layer obtained from PS has the same stoichiometry as standard thermal silicon dioxide; unfortunately, stress introduced by the oxidation process induces significant wafer warpage, which inhibits further technological processing. Passivation of the PS layer can be achieved by a nitridation process executed in a rapid thermal system (RTS) in ammonia. In this case, the Si rods are covered by a thin oxynitride layer, which stabilizes the PS structure without introducing large stress. Nitrided PS membranes (25 - 30 μm thick) that are coplanar with the surrounding bulk Si and have good mechanical stability have been obtained.

Patent
12 Nov 1999
TL;DR: In this paper, a substrate tube is inserted using glazing of tubular porous silicon dioxide green body present in a core glass layer which is produced using a first doping material increasing the refractive index of quartz glass, before glazing.
Abstract: A substrate tube is inserted using glazing of tubular porous silicon dioxide green body present in a core glass layer which is produced. A first doping material increasing the refractive index of quartz glass is added to the partial region of the silicon dioxide green body, before glazing. Process for producing a preform for an optical fiber for optical communications included preparing a quartz glass substrate tube which has doping in different radial direction regions, introducing of core glass into the substrate tube from synthetic quartz glass and coating of substrate tube with a cover glass-tube.

Journal ArticleDOI
TL;DR: In this article, an extended solid phase, CO2-V, was synthesized in a diamond anvil cell by laser heating the molecular orthorhombic phase, carbon dioxide phase III, above 40 gigapascals and 1800 kelvin.
Abstract: An extended-solid phase, carbon dioxide phase V (CO2-V), was synthesized in a diamond anvil cell by laser heating the molecular orthorhombic phase, carbon dioxide phase III, above 40 gigapascals and 1800 kelvin. This new material can be quenched to ambient temperature above 1 gigapascal. The vibration spectrum of CO2-V is similar to that of the quartz polymorph of silicon dioxide, indicating that it is an extended covalent solid with carbon-oxygen single bonds. This material is also optically nonlinear, generating the second harmonic of a neodymium–yttrium-lithium-fluoride laser at a wavelength of 527 nanometers with a conversion efficiency that is near 0.1 percent.