scispace - formally typeset
Search or ask a question

Showing papers by "ASML Holding published in 2001"


Patent
27 Apr 2001
TL;DR: In this article, a method of forming a hybrid mask for optically transferring a lithographic pattern corresponding to an integrated circuit from the mask onto a semiconductor substrate by use of an optical exposure tool is described.
Abstract: A method of forming a hybrid mask for optically transferring a lithographic pattern corresponding to an integrated circuit from the mask onto a semiconductor substrate by use of an optical exposure tool. The method includes the steps of forming at least one non-critical feature on the mask utilizing one of a low-transmission phase-shift mask (pattern) and a non-phase shifting mask (pattern), and forming at least one critical feature on the mask utilizing a high-transmission phase-shift mask (pattern).

151 citations


Patent
19 Nov 2001
TL;DR: In this paper, the authors present an in-situ cleaning of optical components for use in a lithographic projection apparatus by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm in the presence of molecular oxygen.
Abstract: In-situ cleaning of optical components for use in a lithographic projection apparatus can be carried out by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm, in the presence of molecular oxygen. Generally, the space will be purged with an ozoneless purge gas which contains a small amount of molecular oxygen in addition to the usual purge gas composition. The technique can also be used in an evacuated space by introducing a low pressure of molecular oxygen into the space.

96 citations


Patent
Armin Liebchen1
10 Sep 2001
TL;DR: In this paper, a method and apparatus for simulating an aerial image projected from an optical system, wherein the optical system includes a pupil and a mask, is presented, and the method comprises the steps of obtaining parameters for the optical systems, calculating a kernel based on an orthogonal pupil projection of the parameters of the optical System onto a basis set, obtaining parameters of mask, calculating an Orthogonal Mask projection of parameters of a mask onto the basis set and calculating a field intensity distribution using the kernel and the vector, and obtaining aerial image data from the field intensity distributions
Abstract: The present invention provides a method and apparatus for simulating an aerial image projected from an optical system, wherein the optical system includes a pupil and a mask. In general, the method comprises the steps of obtaining parameters for the optical system, calculating a kernel based on an orthogonal pupil projection of the parameters of the optical system onto a basis set, obtaining parameters of the mask, calculating a vector based on an orthogonal mask projection of the parameters of the mask onto a basis set, calculating a field intensity distribution using the kernel and the vector, and obtaining aerial image data from the field intensity distribution.

94 citations


Patent
30 Jun 2001
TL;DR: In this paper, a method of and an apparatus for coating a substrate with a polymer solution to produce a film of uniform thickness, includes mounting the substrate inside an enclosed housing and passing a control gas, which may be a solvent vapor-bearing gas into the housing through an inlet.
Abstract: A method of and an apparatus for coating a substrate with a polymer solution to produce a film of uniform thickness, includes mounting the substrate inside an enclosed housing and passing a control gas, which may be a solvent vapor-bearing gas into the housing through an inlet. The polymer solution is deposited onto the surface of the substrate in the housing and the substrate is then spun. The control gas and any solvent vapor and particulate contaminants suspended in the control gas are exhausted from the housing through an outlet and the solvent vapor concentration is controlled by controlling the temperature of the housing and the solvent from which the solvent vapor-bearing gas is produced. Instead the concentration can be controlled by mixing gases having different solvent concentrations. The humidity of the gas may also be controlled.

93 citations


Patent
31 Jul 2001
TL;DR: In this article, a method and apparatus for providing in-situ monitoring of the removal of materials in localized regions on a semiconductor wafer or substrate during chemical mechanical polishing is proved.
Abstract: A method and apparatus for providing in-situ monitoring of the removal of materials in localized regions on a semiconductor wafer or substrate during chemical mechanical polishing is proved. In particular, the method and apparatus of the present invention provides for detecting the differences in reflectance (134) between the different materials within certain localized regions or zones on the surface of the wafer. The difference (150) in reflectance are used to indicate the rate or progression (152) of material removal in each of the certain localized zones.

73 citations


Patent
06 Jun 2001
TL;DR: In this article, a high energy photon source with a pair of plasma pinch electrodes is located in a vacuum chamber, which contains a working gas which includes a noble buffer gas and an active gas chosen to provide a desired spectral line.
Abstract: A high energy photon source. A pair of plasma pinch electrodes are located in a vacuum chamber. The chamber contains a working gas which includes a noble buffer gas and an active gas chosen to provide a desired spectral line. A pulse power source provides electrical pulses at repetition rates of 1000 Hz or greater and at voltages high enough to create electrical discharges between the electrodes to produce very high temperature, high density plasma pinches in the working gas providing radiation at the spectral line of the source or active gas. A fourth generation unit is described which produces 20 mJ, 13.5 nm pulses into 2 π steradians at repetition rates of 2000 Hz with xenon as the active gas. This unit includes a pulse power system having a resonant charger charging a charging capacitor bank, and a magnetic compression circuit comprising a pulse transformer for generating the high voltage electrical pulses at repetition rates of 2000 Hz or greater.

63 citations


Proceedings ArticleDOI
14 Sep 2001
TL;DR: A new TIS-based technique for measurements of pupil illumination is compared with the conventional resist-based method, which is ideal for visual inspection and proven by strong correlation with interferometric and lithographic data.
Abstract: As feature size decreases, factors like lens aberrations and pupil illumination become increasingly important for the lithographer. Both factors can be quantified using the Transmission Image Sensor (TIS), a built-in measurement device on ASML Step & Scan systems. Advantages of the TIS include robustness and speed, because it is a straightforward measurement technique that does not involve exposure of resist. To allow for on-site minimization of lens aberrations, new lens types will incorporate additional manipulators. Consequently, fast and accurate in-situ measurement of aberrations such as coma and spherical is required. This is achieved by measuring the position of the aerial image at multiple illumination settings, using the flexibility of modern scanners to automatically set the numerical aperture and partial coherence. The accuracy of this technique, proven by strong correlation with interferometric and lithographic data, makes it suitable for system optimization. Regarding measurements of pupil illumination, the new TIS-based technique is compared with the conventional resist-based method. The latter images a defocused pinhole in resist, and is ideal for visual inspection. For the new method, the TIS is scanned in a defocused lateral plane to record the far field image of the pinhole. Quantitative results like pupil uniformity, ellipticity and sigma accuracy are easily obtained.

56 citations


Patent
21 Feb 2001
TL;DR: In this paper, a method of determining aberration of an optical imaging system comprises measuring at least one parameter, such as position of best-focus and/or lateral position, of an image formed by the imaging system.
Abstract: A method of determining aberration of an optical imaging system comprises measuring at least one parameter, such as position of best-focus and/or lateral position, of an image formed by the imaging system. This is repeated for a plurality of different illumination settings of the imaging system, and from these measurements at least one coefficient, representative of aberration of said imaging system, is calculated.

53 citations


Proceedings ArticleDOI
20 Aug 2001
TL;DR: In this paper, the authors present an update of the EUV source requirements and a comparison of the currently measured source performance with the specification, including increase in repetition rate for all the sources.
Abstract: The source is a critical factor for the success of Extreme Ultraviolet Lithography (EUVL). This paper presents an update of the EUV source requirements. A comparison of the currently measured source performance with the specification is presented. For the source choice, it is also essential to understand the limits of the source and the way the source or the total source/lithography system could be improved to meet the lithography tool requirements in time. Although none of the currently known plans is compliant with requirements for an EUVL production tool, significant further improvement of their performance in the future seems feasible. Detailed analysis of the source requirements and the way to meet production tool specification, including increase in repetition rate for all the sources, are presented. Increase in energy per pulse, determined by dose reproducibility limit, for some of the sources is also discussed. Additional attention is paid to optimization of the tool wavelength and heat load within the source chamber and of the optical components due to of-band source radiation.

49 citations


Proceedings ArticleDOI
14 Sep 2001
TL;DR: In this paper, the authors show that binary halftone chromeless PSM (CLM) has great potential to achieve production-worthy (lambda) /4 (or 0.2k 1 ) lithography.
Abstract: Binary halftone chromeless PSM (CLM) can be described as a 100% transmission attenuated PSM (attPSM). The term 'binary halftone' refers to a novel OPC application to achieve the necessary CD control across the full feature-pitch range. We find that CLM is very complimentary -- with high numerical aperture (NA) and with off-axis illumination (OAI). In our wafer-printing experiment, we have achieved 70 nm through- pitch printing performance, using a KrF resist process. This was done in combination with a rule-based SB-OPC approach. At least 0.4 micrometer overlapped DOF with more than 6% exposure latitude has been attained for sub-100 nm printed features. For 2D complex patterns, we have observed a very strong optical proximity effect. CLM appears to be more sensitive to proximity effects, but less sensitive to lens aberration effects. Further experimentation and verification is required. Current mask-making processes appear to be capable of manufacturing CLM. We conclude that CLM has great potential to achieving production-worthy (lambda) /4 (or 0.2k 1 ) lithography. The technology risk is neither in mask making nor in application software, but may be in reticle inspection and repair.

48 citations


Patent
28 Aug 2001
TL;DR: In this article, a method of measuring, in a lithographic manufacturing process, overlay between a resist layer (RL), in which a mask pattern (C) is to be imaged, and a substrate (W), use is made of an alignment measuring device (AS1, AS2) forming part of the apparatus and of specific overlay marks (P10, P11) in the substrate and resist layer.
Abstract: In a method of measuring, in a lithographic manufacturing process using a lithographic projection apparatus, overlay between a resist layer (RL), in which a mask pattern (C) is to be imaged, and a substrate (W), use is made of an alignment-measuring device (AS1, AS2) forming part of the apparatus and of specific overlay marks (P10, P11) in the substrate and resist layer. These marks have periodic structures with periods (PE10, PE11) which cannot be resolved by the alignment device, but generate an interference pattern (Pb) having a period (PEb) corresponding to the period of a reference mark (M1; M2) of the alignment device.

Patent
24 Apr 2001
TL;DR: In this paper, a method for utilizing halftoning structures to manipulate the relative magnitudes of diffraction orders to ultimately construct the desired projected image is presented, which is especially useful for converting strongly shifted, no-0 th -diffraction-order, equal line-and-space chromeless phase edges to weak phase-shifters that have some 0 th order.
Abstract: Method for utilizing halftoning structures to manipulate the relative magnitudes of diffraction orders to ultimately construct the desired projected-image. At the resolution limit of the mask maker, this is especially useful for converting strongly shifted, no-0 th -diffraction-order, equal-line-and-space chromeless phase edges to weak phase-shifters that have some 0 th order. Halftoning creates an imbalance in the electric field between the shifted regions, and therefore results in the introduction of the 0 th diffraction order.

Patent
11 Jun 2001
TL;DR: In this paper, the authors proposed a method of forming a mask for optically transferring a lithographic pattern onto a substrate by use of an optical exposure tool, where the pattern comprises a plurality of features each of which has corresponding edges and vertices.
Abstract: A method of forming a mask for optically transferring a lithographic pattern onto a substrate by use of an optical exposure tool, where the pattern comprises a plurality of features each of which has corresponding edges and vertices. The method includes the steps of forming a serif on a plurality of the vertices contained in the lithographic pattern, where each of the serifs has a rectangular shape, and determining the size of each serif independently on the basis of the length of the feature edges touching a given vertex, and the horizontal and vertical distance of the given vertex to the nearest feature edge, wherein the position of each side of a given serif is independently adjustable relative to the length of the remaining sides of the given serif.

Patent
24 Apr 2001
TL;DR: In this article, the first and second force bars are attached to a mirror and the second force bar is connected to the first surface by at least one actuator, such that longitudinal movement of the actuator causes a displacement of the mirror.
Abstract: Active compensation of aberrations in an optical system involves attaching first and second force bars to a mirror. The first force bar is bifurcated to form an opening near its longitudinal midpoint. This opening defines first and second opposed surfaces. A second force bar is substantially perpendicular to the first force bar and extends through the opening of the first force bar so that a medial portion of the second force bar is disposed in the opening of the first force bar. The second force bar is connected to the first surface by at least one actuator. Longitudinal movement of the actuator causes a displacement of the mirror. A support structure is used to support the weight of the force bars and actuator. The force bars are connected to the support structure by a plurality of flexures. A control module receives information from a sensing module and controls the actuator. Other embodiments use more than two force bars and are capable of more fully compensating for any aberrations in the optical system.

Proceedings ArticleDOI
22 Jun 2001
TL;DR: In this article, the authors used various combinations of resolution enhancement techniques (RET) for IC lithography, different process constraints are placed on the IC layout and the final layout used for mask production is dramatically different than the original designer's intent.
Abstract: With the adoption of various combinations of resolution enhancement techniques (RET) for IC lithography, different process constraints are placed on the IC layout. The final layout used for mask production is dramatically different than the original designer's intent. To insure that EDA tools developed for applying RET techniques can have optimal performance, layout methodology must change to create a ture “target” layer that represents the actual design intent. Verification of the final layout is then expanded from LVS and DRC to also include lithography process simultion, which compares results to this desired “target” and governs the application of RET.

Patent
16 Jul 2001
TL;DR: In this article, a mask pattern for a device such as a DRAM including a nearly regular array of isolated features, assist features are positioned so as to make the array more symmetric.
Abstract: In a mask pattern for a device such as a DRAM including a nearly regular array of isolated features, assist features are positioned so as to make the array more symmetric. Where the isolated features are positioned at most but not all of the points of a regular unit cell, the assist features may be positioned at the points of the unit cell not occupied by the isolated features. The isolated features may represent contact holes.

Proceedings ArticleDOI
20 Aug 2001
TL;DR: The alpha tool ((alpha) -tool) as mentioned in this paper is an exposure tool for Extreme Ultra-Violet Lithography (EUVL) technology, which was designed and implemented as part of the European program EUCLIDES.
Abstract: After the successful completion of the European program EUCLIDES in which core competence for Extreme UltraViolet Lithography (EUVL) technology was generated, ASML (system integration), Carl Zeiss (optics), and their partners have entered the next phase of the program: design and realization of an exposure tool called the alpha tool ((alpha) -tool). This tool should be completed in 2003, and will demonstrate 50-nm-node compliant imaging using full- field all-reflective four-times reducing optics, as well as high performance vacuum scanning wafer- and reticle stages. IN this paper we present the status of the project, as well as highlight the progress in the optics development and optics contamination mitigation efforts.

Patent
Justin L. Kreuzer1
27 Feb 2001
TL;DR: In this article, the alignment sensor is applied to a scanning photolithographic system providing sinusoidal alignment signals, which is particularly applicable to photolithography as used in semiconductor manufacturing.
Abstract: Coherent illumination (12) is used to illuminate a symmetrical alignment mark (18) with an image rotation interferometer (26) producing two images of the alignment mark, rotating the images 180° with respect to each other, and recombining the images interferometrically. The recombined images interfere constructively or destructively, in an amplitude and or polarization sense depending upon the method of recombination, when the alignment sensor (10) is located at the center of the alignment mark. The rotation interferometer (26) is preferably a solid glass assembly made of a plurality of prisms. A detector (28) extracts the alignment information from the image rotation interferometer (26). The resulting center of the alignment mark (18) is accurately determined. A relatively large number of different alignment mark patterns may be utilized, as long as the alignment mark patterns exhibit one hundred and eighty degree symmetry. Parallel lines, a grid pattern, or a checkerboard grating may be used. The alignment sensor (10) may be applied to a scanning photolithographic system providing sinusoidal alignment signals. The alignment system is particularly applicable to photolithography as used in semiconductor manufacturing.

Patent
19 Nov 2001
TL;DR: In this paper, a cleaning of optical components for use in a lithographic projection apparatus can be carried out by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm, in the presence of an oxygen-containing species selected from water, nitrogen oxide and oxygencontaining hydrocarbons.
Abstract: Cleaning of optical components for use in a lithographic projection apparatus can be carried out by irradiating a space within the apparatus containing the optical component with UV or EUV radiation having a wavelength of less than 250 nm, in the presence of an oxygen-containing species selected from water, nitrogen oxide and oxygen-containing hydrocarbons. Generally, the space will be purged with an ozone-less purge gas which contains a small amount of the oxygen-containing species in addition to the usual purge gas composition. The technique can also be used in an evacuated space by introducing a low pressure of the oxygen-containing species into the space.

Proceedings ArticleDOI
14 Sep 2001
TL;DR: ASML's TWINSCAN$TM (Twin-scan$TM) platform as discussed by the authors is specifically designed to meet the specific needs of handling and processing 300 mm substrates.
Abstract: ASML's recently announced TWINSCAN$TM) lithography platform is specifically designed to meet the specific needs of handling and processing 300 mm substrates. This new platform, already supporting a family of Step & Scan lithography systems for I-line and 248 nm DUV, is designed to further support optical lithography at its limits with systems for 193 nm and 157 nm. The conflicting requirements associated with higher productivity on one side, and more extensive metrology on the other, have led to the development of a platform with two independent wafer stages operating in parallel. The hardware associated with exposure, and the hardware and sub-systems required for metrology, are located in two separate positions. While a wafer is exposed on one stage, wafer unload/load and measurements of the horizontal and vertical wafer maps are done in parallel on the second stage. After the two processes are completed, where the exposure sequence typically is the longest, the two stages are swapped. The process is continued on the second stage, while the first stage unloads the exposed wafer and starts the process again.

Journal ArticleDOI
TL;DR: The experimental results prove that with this new technique, very high resolution for both components of the measured impedance can be achieved-better than 0.1 /spl Omega/ for R. and better than0.1 pF for C/sub x/.
Abstract: A simple interface circuit for impedance sensors based on a relaxation oscillator is presented. The measurement strategy and the principle of operation are discussed. The circuit is intended for measuring impedance, which can be represented as a capacitor and a resistor in series. By means of a four-signal measurement technique, continuous self-calibration is achieved. The output signals for both components of the unknown impedance are time periods, and for calculating their values only one reference capacitor is needed. A measurement set-up is presented with which the new method was tested. The experimental results prove that with this new technique, very high resolution for both components of the measured impedance can be achieved-better than 0.1 /spl Omega/ for R. and better than 0.1 pF for C/sub x/.

Patent
08 Aug 2001
TL;DR: In this paper, a system for adjusting a deformable mirror comprising a reaction plate, a plurality of pneumatic actuators coupled to the reaction plate and push-pull flexures couple on a first end to the actuators, and coupled on a second end to non-reflective side the deformable mirrors, the plurality of push pull flexures being disposed at various locations on the back of the deformables.
Abstract: A system for adjusting a deformable mirror comprising a reaction plate, a plurality of pneumatic actuators coupled to the reaction plate, and a plurality of push-pull flexures couple on a first end to the pneumatic actuators, and coupled on a second end to the non-reflective side the deformable mirror, the plurality of push-pull flexures being disposed at various locations on the back of the deformable mirror The respective pairs of push-pull flexures and pneumatic actuators are operated to support and alter the shape of the deformable mirror to allow for correction of a plurality of optical aberrations

Patent
10 Dec 2001
TL;DR: In this paper, the alignment of a substrate (W) with respect to a mask (MA), a substrate alignment mark (P10), having a periodic structure, and an additional alignment Mark (P11), having an additional resist layer (RL) on top of the substrate, are used.
Abstract: For determining the alignment of a substrate (W) with respect to a mask (MA), a substrate alignment mark (P10), having a periodic structure, and an additional alignment mark (P11), having a periodic structure and provided in a resist layer (RL) on top of the substrate, are used. Upon illumination of these two marks, having a period (PE10; PE11) which is considerably smaller than that of a reference mark (M1; M2), an interference pattern (Pb) is generated, which has a period (PEb) corresponding to that of the reference mark. By measuring the movement of the interference pattern with respect to the reference mark, the much smaller mutual movement of the fine alignment marks can be measured. In this way, the resolution and accuracy of a conventional alignment device can be increased considerably.

Patent
22 Aug 2001
TL;DR: In this paper, the X, Y and Rx positions of a mask stage are measured using optical encoder-reading heads (10,11) measuring displacements of respective grid gratings (12,13) mounted on the mask stage.
Abstract: The X, Y and Rx positions of a mask stage (MT) are measured using optical encoder-reading heads (10,11) measuring displacements of respective grid gratings (12,13) mounted on the mask stage. The grid gratings are preferably provided on cut-away portions of the mask table so as to be co-planar with the pattern on the mask itself. Measurements of the table position in the other degrees of freedom can be measured with capacitative or optical height sensors.

Proceedings ArticleDOI
20 Dec 2001
TL;DR: The Engineering Test Stand (ETS) as mentioned in this paper is an EUV lithography tool designed to demonstrate full-field EUV imaging and provide data required to accelerate production-tool development.
Abstract: The Engineering Test Stand (ETS) is an EUV lithography tool designed to demonstrate full-field EUV imaging and provide data required to accelerate production-tool development. Early lithographic results and progress on continuing functional upgrades are presented and discussed. In the ETS a source of 13.4 nm radiation is provided by a laser plasma source in which a Nd:YAG laser beam is focused onto a xenon- cluster target. A condenser system, comprised of multilayer-coated and grazing incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. The resulting EUV illumination at the reticle and pupil has been measured and meets requirements for acquisition of first images. Tool setup experiments have been completed using a developmental projection system with (lambda) /14 wavefront error (WFE), while the assembly and alignment of the final projection system with (lambda) /24 WFE progresses in parallel. These experiments included identification of best focus at the central field point and characterization of imaging performance in static imaging mode. A small amount of astigmatism was observed and corrected in situ, as is routinely done in advanced optical lithographic tools. Pitch and roll corrections were made to achieve focus throughout the arc-shaped field of view. Scan parameters were identified by printing dense features with varying amounts of magnification and skew correction. Through-focus scanned imaging results, showing 100 nm isolated and dense features, will be presented. Phase 2 implementation goals for the ETS will also be discussed.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
24 Apr 2001
TL;DR: In this paper, a method for determining and eliminating the forbidden pitch region for any feature size and illumination condition was proposed. And a method was proposed for performing illumination design in order to suppress forbidden pitch phenomena, and for optimal placement of scattering bar assist features.
Abstract: Optical proximity effects (OPEs) are a well-known phenomenon in photolithography OPEs result from the structural interaction between the main feature and neighboring features It has been determined by the present inventors that such structural interactions not only affect the critical dimension of the main feature at the image plane, but also the process latitude of the main feature Moreover, it has been determined that the variation of the critical dimension as well as the process latitude of the main feature is a direct consequence of light field interference between the main feature and the neighboring features Depending on the phase of the field produced by the neighboring features, the main feature critical dimension and process latitude can be improved by constructive light field interference, or degraded by destructive light field interference The phase of the field produced by the neighboring features is dependent on the pitch as well as the illumination angle For a given illumination, the forbidden pitch region is the location where the field produced by the neighboring features interferes with the field of the main feature destructively The present invention provides a method for determining and eliminating the forbidden pitch region for any feature size and illumination condition Moreover, it provides a method for performing illumination design in order to suppress the forbidden pitch phenomena, and for optimal placement of scattering bar assist features

Proceedings ArticleDOI
20 Dec 2001
TL;DR: In this article, the same authors report on the effects of EUV radiation on MoSi mirrors under exposure with EUV from a synchrotron and demonstrate that carbonization can be suppressed by admitting oxygen during electron gun exposure.
Abstract: Extreme ultraviolet lithography requires vacuum conditions in the optical train. In order to maintain sufficient energy throughput, reflection reduction of multilayer mirrors due to contamination has to be minimized. We report on oxidation and carbonization experiments on MoSi mirrors under exposure with EUV radiation from a synchrotron. To mimic the effects of EUV radiation we also exposed samples using an electron gun. The oxidation rate was found to be ~0.015 nm/h per mW/mm2 of EUV radiation under vacuum conditions that are typical for a high throughput EUVL system, I.e. 10-6 mbar H2O. This oxidation can to a large extend be suppressed by using smart gas blend strategies during exposure, e.g. using ethanol. A deposition rate of 0.25 nm/h was found when the hydrocarbon pressure of Fomblin was reduced to 10(superscript -9 mbar. We demonstrate that carbonization can be suppressed by admitting oxygen during electron gun exposure.

Patent
07 Feb 2001
TL;DR: In this paper, a heat shield temperature controlling means are provided to control a temperature of the heat shield to a predetermined value. But they do not consider the effect of radiation on the first part of the projection.
Abstract: In a lithographic projection apparatus a first part is shielded from a second part by a heat shield. The first part is required to have a temperature of a predetermined value and the second part has a characteristic that may influence the temperature of the first part. The characteristic may be a temperature deviating from the predetermined value, or a supply of radiation that may deviate the temperature of the first part from the predetermined value when incident on the first part. Heat shield temperature controlling means are provided to control a temperature of the heat shield to the predetermined value.

Proceedings ArticleDOI
22 Aug 2001
TL;DR: In this paper, the phase of the coherent illumination sources of a phase-grating alignment system is modulated to improve measurement repeatability, which reduces the single-machine overlay contribution.
Abstract: Applying current and forthcoming optical lithography Step & Scan systems for IC manufacturing with 100 nm device resolution requires constant reduction of the relevant product overlay contributors. The system's wafer alignment sensor plays a key role in determining the attainable product overlay. In this paper, it is shown that modulating the phase of the coherent illumination sources of a phase-grating alignment system improves measurement repeatability. This reduces the single-machine overlay contribution. In addition, by using extended functionality and new procedures to elucidate the optimal wafer alignment strategy, it is shown that the alignment system can resist advanced IC processing effects on alignment marks. This means that a further reduction of the process-induced overlay contribution can be accomplished.

Patent
18 Jan 2001
TL;DR: A microlithography projection apparatus comprises an illuminator, for supplying a beam of radiation for illuminating a pattern on a mask, and a projection system for forming an image of the illuminated portion of the mask on a resist-coated substrate as discussed by the authors.
Abstract: A microlithography projection apparatus comprises an illuminator, for supplying a beam of radiation for illuminating a pattern on a mask, and a projection system for forming an image of the illuminated portion of the mask on a resist-coated substrate The image is projected off-axis with respect to the optical axis of the projection system and the aperture of the illuminator is minimized to that of the illuminated portion of the mask The illuminator is provided with a compensator, such as a tiltable mirror or wedge-like transmissive optical element for compensating for telecentricity errors intrinsic to the projection system