scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Micro-nanolithography Mems and Moems in 2004"


Journal ArticleDOI
Burn-Jeng Lin1
TL;DR: In this paper, the authors give a systematic examination of immersion lithography, analyze and evaluate the diffraction, required, and available DOF in a dry and an immersion system, and analyze the effects of polarization to dry and immersion imaging.
Abstract: ArF lithography is approaching its limit past the 90-nm node. F2 lithography using 157-nm light seems to be a natural extension to the next node. However, several key problems in F2 lithography are still insurmountable. Thin-film pellicle material cannot withstand more than 10 exposures. Hard pellicle technology is far from being manufacture worthy. Ditto for the F2 resist systems. Despite great progresses made, the CaF2 material still suffers from quality and quantity problems. On the other hand, ArF lithography using water immersion between the front lens element and the photoresist effectively reduces the 193-nm wavelength to 135 nm and opens up room for improvement in resolution and depth of focus (DOF). We give a systematic examination of immersion lithography, analyze and evaluate the diffraction, required, and available DOFs in a dry and an immersion system. We also analyze the effects of polarization to dry and immersion imaging. These phenomena are included in simulations to study the imaging of critical layers such as poly, contact, and metal layers for the 65-, 45-, and 32-nm nodes using 193- and 157-nm, dry and immersion systems. The imaging feasibility of 157-nm immersion to the 22-nm node is briefly studied. In addition to the imaging comparison, the impacts and challenges to employ these lithography systems are also covered.

122 citations


Journal ArticleDOI
Soichi Owa1, Hiroyuki Nagasaka1
TL;DR: In this article, it is shown that water (n = 1.44) is the best liquid for 193-nm immersion exposure tools, and that it has an advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer.
Abstract: Immersion lithography has an advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer. In case of 193-nm exposure tools, water (n = 1.44) has been found as the best liquid. It is shown, by using imaging simulations, that ArF (193-nm) immersion lithography (NA = 1.05 to 1.23) has almost equivalent performance to F2 (157-nm) dry (NA = 0.85 to 0.93) lithography. Issues in the ArF immersion exposure tools are discussed with fluid-dynamic and thermal simulations results. In the fundamental issues, there seems to be no showstoppers so far, however, there exist several challenges to realize viable exposure tools.

116 citations


Journal ArticleDOI
TL;DR: In this paper, the authors reported a study on stress reduction during the postbaking process and the effects on lithography of ultra-thick high aspect ratio SU-8 microstructures, which showed that aspect ratios up to 40:1 in isolated open field structures of thickness between 1 and 1.5 mm can be obtained without any modifications of the resist chemistry or changes in light spectrum applied from a standard broadband UV source.
Abstract: In recent years, a relatively new type of negative photoresist, EPON SU-8, has received a lot of attention in the MEMS field because of its excellent lithography properties. Significant research efforts have been made to study the lithographic properties of SU-8 to obtain high aspect ratio microstructures with good sidewall quality. Currently, selection of optimal wavelengths of the UV light for lithographic and reduction of the diffraction effects are believed to be the two most important factors for achieving high-quality lithography of SU-8 as reported in the literature. Other reported efforts also include modifications of the chemical properties of SU-8 for better lithographic quality. We report a study on stress reduction during the postbaking process and the effects on lithography of ultra-thick high aspect ratio SU-8 microstructures. Our research proves that aspect ratios up to 40:1 in isolated open field structures of thicknesses between 1 and 1.5 mm can be obtained without any modifications of the resist chemistry or changes in light spectrum applied from a standard broadband UV source. The principal factor in this achievement is the reduction of internal stress during the postexposure bake process that eliminates large plastic deformations present during standard bake procedures. This process may be used for the fabrication of ultra-thick high aspect ratio microstructures that have to date only been obtainable using x-ray lithography-based LIGA processes.

87 citations


Journal ArticleDOI
TL;DR: In this paper, femtosecond-laser cutting of transparent materials at high irradiance and the influence of stress waves generated by plasma plume is discussed and the damage on the rear surface was induced by the stress waves, which originated from the plasma ablation pressure pulse.
Abstract: We report on quartz and glass cutting by a lateral scanning of femtosecond pulses (150 fs at 1 kHz repetition rate) of 800 nm wavelength at room and low pressure (5 Torr) air ambience Pulses were focused by a low numerical aperture (NA01) objective lens Optimization of fabrication conditions: pulse energy and scanning speed were carried out to achieve large-scale (millimeter-to-centimeter) cutting free of microcracks of submicron dimensions along the edges and walls of the cut Cutting through out the samples of 01-05 mm thickness was successfully achieved without apparent heat affected zone At low air pressure (5 Torr) ambience, redeposition of ablated material was considerably reduced It is demonstrated that the damage on the rear surface was induced by the stress waves, which originated from the plasma ablation pressure pulse The mechanism of femtosecond-laser cutting of transparent materials at high irradiance and the influence of stress waves generated by plasma plume are discussed

74 citations


Journal ArticleDOI
TL;DR: In this article, the authors explore the benefits and limitations of immersion technology in optical microscopy, and propose two configuration approaches to realize an immersion step and scan system, and explore the interaction of immersion fluids with the lens and the photoresist.
Abstract: Liquid immersion has been used for more than 100 years to increase the numeric aperture (NA) and resolution in optical microscopy. We explore the benefits and limitations of immersion technology in lithography. Immersion optical lithography has the potential to extend the resolution below 40 nm. The theory of immersion is decribed. Simulations show that a 193-nm immersion system at NA = 0.95 can double the depth of focus as compared to a dry system. Also, an immersion 193-nm system at NA = 1.05 has slightly more depth of focus than a 157-nm dry system at NA = 0.85. However, the exposure latitude at 193 nm is decreased due to the impact of polarization in imaging. Design schemes are presented to realize an immersion step and scan system. Two configuration approaches are proposed and explored. A localized shower type solution may be preferred over a bath type solution, because the impact on the step and scan platform design is significantly less. However, scanning over the wafer edge becomes the main design challenge with a shower solution. Studies are presented that look at the interaction of immersion fluids with the lens and the photoresist. Water seems to be a likely candidate, as it does not impact productivity of the step and scan system; however, focus and aberration levels need to be carefully controlled. For 157 nm, per-fluor-polyether (PFPE) materials are currently being studied, but their characteristics may limit the productivity of the exposure system. Further research on fluid candidates for 157-nm immersion is required.

53 citations


Journal ArticleDOI
TL;DR: In this paper, the problem of obtaining and characterizing the edge roughness of photoresist lines by analyzing top-down scanning electron microscope (SEM) images was investigated.
Abstract: We focus on the problem of obtaining and characterizing the edge roughness of photoresist lines by analyzing top-down scanning electron microscope (SEM) images. An off-line image analysis algorithm detecting the line edge, and an edge roughness characterization scheme, based on scaling analysis, are briefly described. As a result, it is suggested that apart from the rms value of the edge (sigma), two more roughness parameters are needed: the roughness exponent and the correlation length . These characterize the spatial complexity of the edge and determine the dependence of sigma on the length of the measured edge. Completing our previous work on the dependencies of the roughness parameters (sigma,,) on various image analysis options, we examine the effect of the type of noise smoothing filter. Then, a comparative study of the roughness parameters of the left and right edges of resist lines is conducted, revealing that the sigma values of the right edges are larger than those of the left edges (due to an imperfect SEM beam alignment), whereas the roughness exponents and the correlation lengths do not show such a trend. Finally, the relation between line width roughness and line edge roughness is thoroughly investigated with interesting conclusions.

43 citations


Journal ArticleDOI
TL;DR: In this article, the authors present accurate measurements of several important optical constants of high purity water for wavelengths near 193.39 nm at 21.50°C: the absolute refractive index n = 1.436
Abstract: We present accurate measurements of several important optical constants of high-purity water for wavelengths near 193.39 nm at 21.50°C: the absolute refractive index n = 1.436 62(2), the dispersion dn/dλ = −2.109(17)×10−3 nm−1, and the thermo-optic coefficient dn/dT = −1.00(4)×10−4 (°C)−1. We determine these values by two independent approaches, the minimum deviation prism method and an interferometric technique, and find that they give mutually consistent results.

40 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present results from research into 193-nm excimer laser immersion lithography at extreme propagation angles, which is being carried out in a fluid that is most compatible in a manufacturable process.
Abstract: Historically, the application of immersion optics to microlitho-graphy has not been seriously pursued because of the alternative technologies available. As the challenges of shorter wavelength become increasingly difficult, immersion imaging becomes more feasible. We present results from research into 193-nm excimer laser immersion lithography at extreme propagation angles. This is being carried out in a fluid that is most compatible in a manufacturable process, namely water. By designing a system around the optical properties of water, we are able to image with wavelengths down to 193 nm. Measured absorption is below 0.50 cm−1 at 185 nm and below 0.05 cm−1 at 193 nm. Furthermore, through the development of oblique angle imaging, numerical apertures approaching 1.0 in air and 1.44 in water are feasible. The refractive index of water at 193 nm allows for exploration of the following: k1 values near 0.25 leading to half-pitch resolution approaching 35 nm at a 193-nm wavelength; polarization effects at oblique angles (extreme NA); immersion and photoresist interactions with polarization; immersion fluid composition, temperature, flow, and micro-bubble influence on optical properties (index, absorption, aberration, birefringence); mechanical requirements for imaging, scanning, and wafer transport in a water media; and synthesizing conventional projection imaging via interferometric imaging.

37 citations


Journal ArticleDOI
TL;DR: In an optical vortex, the wavefront spirals like a corkscrew, rather than forming planes or spheres, and the axis of a vortex is always dark as mentioned in this paper, which can be used to produce contact holes with 64 nm 0.6 µm.
Abstract: In an optical vortex, the wavefront spirals like a corkscrew, rather than forming planes or spheres. Since any nonzero optical amplitude must have a well-defined phase, the axis of a vortex is always dark. Printed in negative resist at 248 nm and NA0.63, optical vortices and optical vortex arrays produce contact holes with 64 nm 0.6 can be patterned using a chromeless phase-edge mask composed of rectangles with nominal phases of 0, 90, 180, and 270 deg. Lithography simulation and resist exposures have demonstrated process windows with 10%Elat and ~400-nm depth of focus (DOF) for 85-nm CDs at 210-nm pitch with =0.15, but the developed contacts are somewhat elliptical. No significant surface development has appeared due to phase-edge printing. However, the spacewidth alternation phenomenon familiar from linear chromeless phase-edge lithography does cause small positional errors for vortex vias, and each of the four vortices in the repeating pattern may behave somewhat differently through focus, potentially limiting the common process window. Smaller CDs and pitches are possible with shorter wavelength and larger NA, while larger pitches give rise to larger CDs. At pitch >0.6 µm, the vortices begin to print independently for 0.3. Such "independent" vortices have a quasi-isofocal dose that gives rise to 110-nm contacts with Elat>14% and DOF >400 nm. In an actual chip design, unwanted vortices and phase step images would be erased from the resist pattern by exposing the wafer with a second, more conventional, bright-field trim mask. Compared to other ways of producing deep subwavelength contacts, the vortex via process reduces the lithography and process control challenges.

37 citations


Journal ArticleDOI
TL;DR: This work proposes use of a new procedure called integrated simulation (optical combined with electrical) to estimate the impact of the mask critical dimension (CD) budget on transistor performance on the local scale (cell level) and global scale (die level).
Abstract: For sub-100 nm integrated circuit (IC) technologies, many of the factors that affect the cost of photomasks, the cost of material, of the writing process, of the develop/etch process, and of inspection, are increasing by an order of magnitude per generation. In order to mitigate the impact of that increase on the return on investment of new IC products, mask shop deliverables such as yield or alignment with technology requirements need to reach new quality. This work focuses on cost containment of the mask by optimally utilizing existing reticle technology to meet device requirements at the product level. We first compare the increase of mask cost with that of other manufacturing equipment categories, and discuss their dependence on layer properties and how to control increasing costs. We then propose use of a new procedure called integrated simulation (optical combined with electrical) to estimate the impact of the mask critical dimension (CD) budget on transistor performance on the local scale (cell level) and global scale (die level). In the process, at the cell level, simulated aerial images of metal-oxide-semiconductor field-effect transistor channels are used to evaluate the parametric data dependence on the optical proximity effects and correction features at the mask grade assumed. At the die level, statistical distribution of device parameters in the die is derived to estimate the parametric yield impacted by mask CD variation. We also discuss how integrated simulation can help in resolving other challenges of advanced reticle manufacturing such as qualification of masks or the generation of dummy patterns.

36 citations


Journal ArticleDOI
TL;DR: In this paper, the authors discuss laser fabrication of microstructures in photoetchable glass ceramics called Foturan (Schott Company, Elmsford, NY), using a KrF excimer laser for surface micromachining and a femtosecond laser for fabricating 3D structures.
Abstract: We discuss laser fabrication of microstructures in photoetchable glass ceramics called Foturan (Schott Company, Elmsford, NY). A KrF excimer laser (= 248 nm, = 25 ns) is used for surface micromachining, and a femtosecond laser (= 800 nm, = 80 fs) is used for fabricating 3-D structures. Important aspects of the machining, such as depth of machining resulting from different laser processing parameters and threshold laser fluences, are presented. A detailed analysis of the absorption process of both lasers in photoetchable glass ceramics is provided.

Journal ArticleDOI
TL;DR: More than 50 fluorocarbon liquids are measured for transpar- ency over the wavelength range 150 to 200 nm for the purpose of iden- tifying a suitably transparent fluid for use in 157-nm liquid immersion lithography as mentioned in this paper.
Abstract: More than 50 fluorocarbon liquids are measured for transpar- ency over the wavelength range 150 to 200 nm for the purpose of iden- tifying a suitably transparent fluid for use in 157-nm liquid immersion lithography. Purification methods such as degasification, distillation, silica gel drying, and supercritical fluid fractionation are investigated to determine the impact of residual contaminants on absorbance. The pu- rification processes are monitored by gas chromatography-mass spec- trometry and Fourier-tranform infrared spectroscopy (for organics), 19 F-nuclear magnetic resonance spectroscopy (for molecular structure), gel permeation chromatography (for molecular weight), Karl Fisher analysis (for water), and for residual dissolved oxygen. We find that in most cases, the absorbance is dominated by dissolved oxygen and water. Once the contaminant levels are reduced, the most transpar- ent perfluoroether (PFE) measured is perfluoro-1,2-bis(2-

Journal ArticleDOI
TL;DR: In this paper, an ion-beam thin film planarization process was developed to mitigate the effect of small substrate contaminants that relies on enhancing the smoothing capability of Mo/Si multilayer films.
Abstract: Reticle blanks for extreme ultraviolet lithography (EUVL) are fabricated by depositing reflective Mo/Si multilayer films on superpolished substrates. To obtain a reasonable cost of ownership for EUVL, the multilayer films must be nearly defect free, have excellent reflectance/thickness uniformity, and have a high EUV reflectance. Small particle contaminants on the substrate that can nucleate printable Mo/Si phase defects are a serious concern. We develop an ion-beam thin film planarization process for mitigating the effect of small substrate contaminants that relies on enhancing the smoothing capability of Mo/Si multilayer films; we observe that etching of the Si layers in between deposition steps can yield a significant improvement in smoothing. Using this process substrate particles as large as 50 nm in diameter are smoothed to ∼ 1 nm in height, rendering them harmless. We further develop this process so that it retains these particle-smoothing capabilities while also achieving a high EUV reflectance and excellent uniformity

Journal ArticleDOI
TL;DR: In this article, a positive resist process is used to image both holes and pillars on the template, and then the templates are used to print both contacts and pillars, a consequence of undersizing on the templates.
Abstract: Step and flash imprint lithography (S-FIL) is an attractive method for printing sub-100-nm geometries. Relative to other imprinting processes, S-FIL has the advantage of the template being transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. As a result, it may be possible to use S-FIL to build integrated circuits. The purpose of this work is to investigate the fabrication methods needed to form templates capable of printing sub-100-nm contact holes. A positive resist process is used to image both holes and pillars on the template. After fabrication, the templates are used to print both contacts and pillars. The dense 80-nm imprinted contacts measure 65 nm, a consequence of undersizing on the template. For relaxed pitches, contacts smaller than 30 nm are observed. Pillars as small as 50 nm are also cleanly printed. At 40 nm, pillar size is inconsistent, and missing pillars are evident. Modifications to the template fabrication process will be necessary to study the feasibility of printing even smaller contacts and pillars.

Journal ArticleDOI
TL;DR: In this paper, a high-quality UV-lithography process for making high aspect ratio microstructures for microengines using an ultrathick SU-8 photoresist layer is presented.
Abstract: We present a high-quality UV-lithography process for making high aspect ratio microstructures for microengines using an ultrathick SU-8 photoresist layer. The microreciprocating engine project, which is ongoing at the University of Birmingham, aims to develop a compact power plant to replace batteries. The design of the microengine imposes strict requirements on the geometry of the engine components. The microfabrication research work has been concentrated on developing a SU-8 UV-lithography process to achieve good sidewall angles and high aspect ratio. Based on the study of the photoactive property of ultrathick SU-8 layers, an optimized prebake time is found for obtaining the minimum UV absorption of SU-8. The optimization process is tested and proven effective using a series of UV-lithography experiments on different prebake times. Microstructures with aspect ratios as high as 40:1 are produced in 1000-µm ultrathick SU-8 layers using standard UV-lithography equipment. The sidewall angles are controlled between 85 to 90 deg. The engine components fabricated using this process satisfy microengine design requirements.

Journal ArticleDOI
TL;DR: In this article, an integrated model is developed to describe the hydrodynamic and optical processes that occur in discharge-produced plasma (DPP) devices, including both plasma evolution and magnetohydrodynamic processes as well as detailed photon radiation transport.
Abstract: Discharge-produced plasma (DPP) devices have been proposed as a light source for EUV lithography. A key challenge for DPP is achieving sufficient brightness to support the throughput requirements of exposure tools for high-volume manufacturing lithography. To simulate the environment of the EUV source and optimize the output of the source, an integrated model is being developed to describe the hydrodynamic and optical processes that occur in DPP devices. The model includes both plasma evolution and magnetohydrodynamic processes as well as detailed photon radiation transport. The total variation diminishing scheme in the Lax-Friedrich formulation for the description of magnetic compression and diffusion in a cylindrical geometry is used. Several models are being developed for opacity calculations: a collisional radiation equilibrium model, a self-consistent field model with Auger processes, and a nonstationary kinetic model. Radiation transport for both continuum and lines with detailed spectral profiles are taken into account. The developed models are integrated into the HEIGHTS-EUV computer simulation package. Preliminary results of a numerical simulation of xenon gas hydrodynamics and EUV radiation output are presented for various plasma conditions.

Journal ArticleDOI
TL;DR: In this paper, a new class of printing strategies is described for the manufacture of microstructures and nanostructures, referred to as molecular transfer lithography (MxL), which is based on the room-temperature fabrication of water-soluble polymer templates by spin casting a polyvinyl alcohol film-forming solution to replicate surface patterns.
Abstract: A new class of printing strategies is described for the manufacture of microstructures and nanostructures. This class collectively is referred to as molecular transfer lithography (MxL). The approach is based on the room-temperature fabrication of water-soluble polymer templates by spin casting a polyvinyl alcohol film-forming solution to replicate surface patterns. The templates are useful not only for pattern formation, but also for materials transfer printing, employing a low-cost, convenient, biocompatible chemical approach to high-resolution processing. Results are provided to demonstrate deep submicrometer feature sizes of holes, pillars and lines, 3-D patterns, materials transfer printing of metallic thin films, planarization of wafer topography, and water-soluble polymer templates for 100- and 200-mm wafer patterning. The alignment tooling is discussed and it is shown that MxL can be adapted for use on standard contact aligners with a replacement of the quartz photomask with a water-soluble polymer template to improve resolution without a change of equipment. A high-throughput alignment system for MxL is also discussed. The MxL class of pattern formation and materials transfer printing strategies is differentiated with respect to imprint lithography and soft lithography methods.

Journal ArticleDOI
TL;DR: In this paper, a continuous-tone grayscale mask and subsequent photolithography are used to generate a smooth-facet retroreflector with features that are not possible to produce either by a gray-scale process that employs discreet gray levels or by anisotropic wet etch techniques.
Abstract: For highest efficiency optical devices, it is desirable to form continuously graded device features. We describe a technique to produce such features through the fabrication of a continuous-tone grayscale mask and subsequent grayscale photolithography. The design of the mask fabrication process is outlined, including the high-energy-beam-sensitive (HEBS) glass electron-beam exposure response characterization, and the generation of an exposure profile with inherent proximity effect correction. Application of the process is demonstrated through fabrication of smooth-facet retroreflectors, with features that are not possible to produce either by a grayscale process that employs discreet gray levels or by anisotropic wet etch techniques.

Journal ArticleDOI
TL;DR: It is demonstrated that aspheres can be used effectively to reduce the volume of full field projection lenses, making the mechanical implementation of a 0.90 NA lens feasible in production, and the extension of these designs to numerical apertures greater than 1.0 using immersion techniques is demonstrated.
Abstract: Advanced dioptric projection lenses from Carl Zeiss are used in some of the world's most advanced deep ultraviolet projection lithography systems. These lenses provide a resolution of better than 100 nm across the entire field of view with a level of aberration control that maximizes critical dimension uniformity and lithographic process latitude. These dioptric projection lenses are currently being used for critical layer device patterning for a wide array of complex logic, memory, and application specific integrated circuits. Zeiss' involvement in the development of ultraviolet lenses goes back to the year 1902, more than 100 years ago, when von Rohr calculated the first monochromatic ultraviolet microobjectives for ultra-high-resolution microphotography using a line-narrowed source. The modern dioptric projection lenses for lithography are influenced by the collective experience in the field of microscopy, and the more recent experience with early step-and-repeat lenses. We discuss some of the foundations of modern dioptric designs in the context of this history, demonstrating that rapid synthesis of designs is possible using combinations of monochromatic microscope objectives and early step-and-repeat lenses from the 1970s. The problems associated with ultrahigh numerical aperture objectives are discussed. Specifically, it is demonstrated that aspheres can be used effectively to reduce the volume of full field projection lenses, making the mechanical implementation of a 0.90 NA lens feasible in production. Several contemporary dioptric projection lens designs are reviewed in detail. The extension of these designs to numerical apertures greater than 1.0 using immersion techniques is demonstrated. These immersion lenses give the potential for 40-nm resolution.

Journal ArticleDOI
TL;DR: In this article, a simple and effective method, based on a combination of centrifugation and spin coating, developed for the fabrication by self-assembly of photonic crystal structures, is described.
Abstract: We describe a simple and effective method, based on a combination of centrifugation and spin coating, developed for the fabrication by self-assembly of photonic crystal structures. The process produces compact 3-D arrays of polystyrene microspheres (opals) that are organized into crystalline lattices with single-crystal regions up to several hundred micrometers in size. These arrays are subsequently used as templates for infiltration with polyurethane. The resulting inverse opals are characterized by Fourier transform IR reflection spectroscopy and exhibit strong reflectivity for a band of wavelengths in excellent agreement with band structure calculations. This process offers the ability to rapidly form 3-D photonic crystals using inexpensive instrumentation, which makes it attractive for an array of applications. Process parameters, fabricated structures, and their experimental characterization are presented.

Journal ArticleDOI
TL;DR: In this article, the authors discussed the numerical results of the optical effects of multilayers (MLs) and the inclusion of these effects in optical design in extreme ultraviolet (EUV) projection lithography systems.
Abstract: Multilayer interference coatings on reflective elements in extreme ultraviolet (EUV) projection lithography systems introduce phase and amplitude variations at reflection, which have important implications for imaging properties, e.g., resolution, depth of focus, and tolerances. We discuss the numerical results of the optical effects of multilayers (MLs) and the inclusion of these effects in optical design. This numerical study demonstrates the advantages of spatially varying (graded) MLs compared to multilayers with constant layer thicknesses. We present a new method to calculate the optimum grading of multilayers. Using this new method, we are able to fully optimize the wavefronts emerging from the projection system toward the image plane.

Journal ArticleDOI
TL;DR: In this paper, the use of an insulating shield for the improvement of the current distribution on 3-in. wafer substrates is considered and numerical analysis is used to evaluate the influence of shield shape and position on the deposition uniformity, and the simulation results are compared to experimental data for nickel deposition from a Ni sulfamate bath.
Abstract: The employment of an insulating shield for the improvement of the current distribution on 3-in. wafer substrates is considered. Numerical analysis is used to evaluate the influence of shield shape and position on the deposition uniformity, and the simulation results are compared to experimental data for nickel deposition from a Ni sulfamate bath. The use of a shield is shown to be an effective and simple way to improve current distribution uniformity, reducing the measured disparity between the average current density and the current density at the substrate center from ∼35% to less than about 10% for the cases studied.

Journal ArticleDOI
TL;DR: In this article, a detailed vector simulation study is performed for two approaches to printing for the 45-nm half-pitch node, and both imaging interferometric lithography (IIL) and dipole illumination (with two masks separating the x and y oriented small features) are shown to be capable of printing arbitrary structures under these conditions.
Abstract: With immersion in water (n = 1.44), the highest spatial frequency available with ArF-based (193-nm) lithography tools with a numerical aperture (NA) = n×sin θ of 1.3 (1.44×0.9) corresponds to a half-pitch of 37 nm. This suggests that the 45-nm half-pitch node should be accessible. A detailed vector simulation study is reported for two approaches to printing for this node. Both imaging interferometric lithography (IIL, with a single mask and multiple exposures incorporating pupil plane filters) and dipole illumination (with two masks separating the x and y oriented small features) are shown to be capable of printing arbitrary structures under these conditions. There is a substantial loss of contrast for TM polarization at this NA that demands that different polarizations be used to capture the high spatial frequencies in the x and y directions. Both dipole and IIL schemes offer this capability; IIL provides more robust imaging results.

Journal ArticleDOI
Burn-Jeng Lin1
TL;DR: In this article, a simulation program for diffracted intensity distribution is used to construct exposure-defocus trees and windows to evaluate the exposure latitude and depth of focus (DOF) of typical circuit elements.
Abstract: When imaging at high incident angles to the resist, both the reflected light from the resist surface and the image forming process of the transmitted light into the resist are polarization dependent. The transmitted TM component and the reflected TE and TM components tend to induce stray light as a function of the incident angle. In this work, these components are analyzed and evaluated quantitatively. The polarization-dependent stray light (PDS) and the system stray light found in regular optical imaging systems are incorporated into a simulation program for diffracted intensity distribution to construct exposure-defocus (E-D) trees and windows to evaluate the exposure latitude and depth of focus (DOF) of typical circuit elements. The DOF of line-space pairs and contact holes with and without PDS are compared in the cases of two- and three-beam interference, first- and second-order beams, binary intensity masks, and phase shifting masks. Even though the 193-nm immersion system is shown to be better than 157- and 193-nm dry systems, using polarized illumination can improve DOF, particularly in low k1 situations, and in other situations when the stray-light-free image contrast is low.

Journal ArticleDOI
Burn-Jeng Lin1
TL;DR: The types of DOF are classified into diffraction DOF, requiredDOF, and available DOf, then the mutual dependence of these parameters and their significance in subwavelength imaging are shown.
Abstract: Depth of focus (DOF) is the gating factor of resolution and an indicator of the feasibility of a given technology node. However, its meaning is ambiguous. Sometimes it is used to indicate the longitudinal range of a good image governed by diffraction. Other times it is used as a budget for mechanical and optical tolerances. Another source of confusion is that the longitudinal range of a good diffracted image is usually evaluated for an air medium, ignoring the wavelength compression effect of the photoresist, as well as the fact that at least two media have to be within the longitudinal range of the good image. An immersion system with a high-index coupling medium makes the situation even more complicated. We classify the types of DOF into diffraction DOF, required DOF, and available DOF, then show the mutual dependence of these parameters and their significance in subwavelength imaging. The impact and DOF-resolution tradeoff, with and without a high-index coupling medium, is also explored.

Journal ArticleDOI
TL;DR: In this paper, the fluid flow inside the diffuser polymer micropump is also calculated using computational fluid dynamics methods and the simulated results are compared with the experimental data, and it is demonstrated that polymer-based micropumps can be conveniently fabricated using the laser machining technique.
Abstract: Diffuser micropumps are commonly fabricated using the standard lithography techniques with silicon as the base material. The important components of this type of micropumps are flow-directing diffusers and a moving diaphragm. Different diffuser designs show various flow rates and pump efficiency. In this work a polymer is used as the base material instead of silicon. It is demonstrated that polymer-based micropumps can be conveniently fabricated using the laser machining technique. Moreover, because of the flexibility of polymer materials, there is great potential to improve the performance of the polymer micropumps. The fluid flow inside the diffuser polymer micropump is also calculated using computational fluid dynamics methods and the simulated results are compared with the experimental data.

Journal ArticleDOI
TL;DR: In this paper, a technique for pellicle purification via a cyclic purging and filling process is investigated, and the minimum time for purification without causing excessive stress in the Pellicle plate is estimated for a nominal set of conditions.
Abstract: Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm tech- nology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in an air environment, but oxygen gas and water vapor severely attenuate 157-nm radiation. However, 157-nm exposure can be carried out in a nitrogen environment, which can be achieved by purging. A challenging aspect of the nitrogen purging pro- cess is the evacuation of the volume delineated by the pellicle frame, and the 800-mm-thick hard pellicle plate, which can fracture when sub- jected to an excessive pressure difference. A technique for pellicle puri- fication via a cyclic purging and filling process is investigated. A theoret- ical analysis of the gas flow and pressure variation in the system is presented. The maximum stress induced in the hard pellicle during the process is predicted using finite element modeling. The minimum time for purification without causing excessive stress in the pellicle plate is estimated for a nominal set of conditions. Finally, a parametric analysis of important geometric variables including the size and number of purg- ing holes as well as the filter resistance is presented. © 2004 Society of

Journal ArticleDOI
TL;DR: The multizone hotplate approach of the APB5500 bake system achieves temperature uniformity significantly superior to conventional bake tools, resulting in unmatched global critical dimension (CD) uniformity from the postexposure bake (PEB) process.
Abstract: The multizone hotplate approach of the APB5500 bake system achieves temperature uniformity significantly superior to conventional bake tools, resulting in unmatched global critical dimension (CD) uniformity from the postexposure bake (PEB) process. Progress toward 65-nm next-generation lithography, however, requires the application of negative-tone chemically amplified resists (nCARs) like NEB22. This nCAR is characterized to show a strong sensitivity to postexposure delay (PED) in vacuum during electron-beam writing of 0.5 nm/h, and also a strong PEB sensitivity of 7.8 nm/°C, both resulting in systematic CD errors. These CD errors are compensated with the APB5500 bake system during PEB by automatically applying an appropriate nonuniform temperature profile. This temperature profile is calculated by an algorithm considering the resist and mask heat transfer properties. A CD uniformity improvement from 8.9 to 6.7 nm total range (25%) on a state of the art production mask is achieved.

Journal ArticleDOI
TL;DR: In this article, a microelectromechanical system electromagnetic optical scanner for horizontal scanning in a commercial laser scanning microscope has been developed, which has successfully satisfied all the specifications including not only the fundamentals such as resonant frequency and maximum scan angle but also the ones for commercial products such as scanning stability and durability.
Abstract: A microelectromechanical system electromagnetic optical scanner for horizontal scanning in a commercial laser scanning microscope has been developed. Major specifications include mirror size: 4.5×3.3 mm2, resonant frequency: 4 kHz, changeable scan angle: 2.1-16°, mirror flatness: <244 nm, and scan angle stability: <0.1%. Initial development started with prototyping a scanner with polyimide hinge, but the stiffness and the Q-factor of polyimide hinge were found insufficient to realize the required resonant frequency and scan angle. On the other hand, a scanner with single crystal silicon hinge has been successfully developed. The electromagnetic scanner has an electroplated copper driving coil to reduce power consumption. A scanner controller using the output signal from an integrated sensing coil was also developed, and sufficient scan angle stability was obtained. The scanner has survived the life test of over 140 billion cycles. It has successfully satisfied all the specifications including not only the fundamentals such as resonant frequency and maximum scan angle but also the ones for commercial products such as scanning stability and durability. It has been commercialized as a part of our product OLS1100 (later remodeled as OLS1200).

Journal ArticleDOI
TL;DR: The precision, accuracy and traceability in SEM metrology of photomasks will be discussed and current and possible new techniques utilized in the measurements of Photomasks including suppression of charge and highly accurate modeling for electron beam metrology will be explored.
Abstract: Photomask dimensional metrology in the scanning electron microscope has not evolved as rapidly as the metrology of resists and integrated circuit features on wafers. This has been due partly to the 43 (or 53) reduction in optical steppers and scanners used in the lithog- raphy process, and partly for the lesser need to account for the real three dimensionality of the mask structures. So, where photomasks are con- cerned, many of the issues challenging wafer dimensional metrology at 13 are reduced by a factor of 4 or 5 and thus could be temporarily swept aside. This is rapidly changing with the introduction of advanced masks with optical proximity correction and phase shifting features used in 100 nm and smaller circuit generations. Fortunately, photomask metrology generally benefits from the advances made for wafer metrology, but there are still unique issues to be solved in this form of dimensional metrology. It is likely that no single metrology method or tool will ever provide all necessary answers. As with other types of metrology, resolu- tion, sensitivity and linearity in three-dimensional measurements of the shape of the lines and phase shifting features in general (width, height and wall angles) and departure from the desired shape (surface and edge roughness, etc.) are the key parameters. Different methods and tools differ in their capability to collect average and localized signals at acceptable speed, but in any case, application of thorough knowledge of the physics of the given metrology is essential to extract the information needed. This paper will discuss the precision, accuracy and traceability in SEM metrology of photomasks. Current and possible new techniques utilized in the measurements of photomasks including suppression of charge and highly accurate modeling for electron beam metrology will also be explored to answer the question, Has anything really