scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Micro-nanolithography Mems and Moems in 2016"


Journal ArticleDOI
TL;DR: In this paper, the absorption coefficients of several chemically amplified resists and non-CAR extreme ultraviolet photoresists were measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source.
Abstract: The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

74 citations


Journal ArticleDOI
TL;DR: This approach is the first CNN-based lithography HS detection using convolutional neural networks and makes additional technical efforts to improve the performance of the framework, including inspection region reduction, data augmentation, DBSCAN clustering, modified batch normalization, and fast image scanning.
Abstract: As the physical design of semiconductors continues to shrink, the lithography process is becoming more sensitive to layout design. Identifying lithography hotspots (HSs) in the layout design stage appears to be more and more crucial for fast semiconductor development. In this direction, we propose an accurate HS detection method using convolutional neural networks. Our approach produces more accurate detection performance (95.5% recall and 22.2% precision) compared to previous approaches. In spite of the use of deep convolutional neural networks, our method achieves a fast detection time of 0.72 h/mm2. In order to quickly and accurately detect HSs, we not only utilize the nature of convolutional-neural networks but also make additional technical efforts to improve the performance of our framework, including inspection region reduction, data augmentation, DBSCAN clustering, modified batch normalization, and fast image scanning. To the best of our knowledge, our approach is the first CNN-based lithography HS detection.

71 citations


Journal ArticleDOI
TL;DR: A regression model for OPC using a hierarchical Bayes model (HBM) is proposed to reduce the number of iterations in model-based OPC and show that utilizing HBM can achieve a better solution than other conventional models.
Abstract: Optical proximity correction (OPC) is one of the most important techniques in today’s optical lithography-based manufacturing process. Although the most widely used model-based OPC is expected to achieve highly accurate correction, it is also known to be extremely time-consuming. This paper proposes a regression model for OPC using a hierarchical Bayes model (HBM). The goal of the regression model is to reduce the number of iterations in model-based OPC. Our approach utilizes a Bayes inference technique to learn the optimal parameters from given data. All parameters are estimated by the Markov Chain Monte Carlo method. Experimental results show that utilizing HBM can achieve a better solution than other conventional models, e.g., linear regression-based model, or nonlinear regression-based model. In addition, our regression results can be used as the starting point of conventional model-based OPC, through which we are able to overcome the runtime bottleneck.

38 citations


Journal ArticleDOI
TL;DR: In this article, a full physical explanation of the mask topography induced phase effects can be observed from exposed wafers in state-of-the-art immersion and extreme ultraviolet photolithography.
Abstract: We will summarize our work on mask topography-induced effects over the last 5 years. We will give a full physical explanation of the effects that can be observed from exposed wafers in state-of-the-art immersion and extreme ultraviolet photolithography. The mask topography-induced phase leads to vertical and lateral displacements of the aerial image, resulting in feature-dependent best focus and position. The feature dependency has been studied for gratings through pitch and size and for two-trench arrangements. The physical explanation involves the analysis and quantification of phase effects in a similar way as was done for projection lens aberrations one decade ago. Phase effects, derived both from rigorous simulations and an analytical model, will be compared with exposure figure or merits (e.g., best focus per feature) and correlate well. Therefore, the analysis of mask topography induced phase and the reduction thereof by absorber thickness optimization can be used to drive lithography improvements.

34 citations


Journal ArticleDOI
TL;DR: In this paper, the authors employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters.
Abstract: The mask plays a significant role as an active optical element in lithography, for both deep ultraviolet (DUV) and extreme ultraviolet (EUV) lithography. Mask-induced and feature-dependent shifts of the best-focus position and other aberration-like effects were reported both for DUV immersion and for EUV lithography. We employ rigorous computation of light diffraction from lithographic masks in combination with aerial image simulation to study the root causes of these effects and their dependencies from mask and optical system parameters. Special emphasis is put on the comparison of transmission masks for DUV lithography and reflective masks for EUV lithography, respectively. Several strategies to compensate the mask-induced phase effects are discussed.

27 citations


Journal ArticleDOI
TL;DR: In this article, the authors present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™).
Abstract: Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).

21 citations


Journal ArticleDOI
TL;DR: These CNTs are capable of scanning the profiles of these structures, including re-entrant sidewalls, but there remain important challenges to address, including tighter control of tip geometry and careful optimization of scan parameters and algorithms for using CNT tips.
Abstract: The decreasing size of semiconductor features and the increasing structural complexity of advanced devices have placed continuously greater demands on manufacturing metrology, arising both from the measurement challenges of smaller feature sizes and the growing requirement to characterize structures in more than just a single critical dimension. For scanning electron microscopy, this has resulted in increasing sophistication of imaging models. For critical dimension atomic force microscopes (CD-AFMs), this has resulted in the need for smaller and more complex tips. Carbon nanotube (CNT) tips have thus been the focus of much interest and effort by a number of researchers. However, there have been significant issues surrounding both the manufacture and use of CNT tips. Specifically, the growth or attachment of CNTs to AFM cantilevers has been a challenge to the fabrication of CNT tips, and the flexibility and resultant bending artifacts have presented challenges to using CNT tips. The Korea Research Institute for Standards and Science (KRISS) has invested considerable effort in the controlled fabrication of CNT tips and is collaborating with the National Institute of Standards and Technology on the application of CNT tips for CD-AFM. Progress by KRISS on the precise control of CNT orientation, length, and end modification, using manipulation and focused ion beam processes, has allowed us to implement ball-capped CNT tips and bent CNT tips for CD-AFM. Using two different generations of CD-AFM instruments, we have evaluated these tip types by imaging a line/space grating and a programmed line edge roughness specimen. We concluded that these CNTs are capable of scanning the profiles of these structures, including re-entrant sidewalls, but there remain important challenges to address. These challenges include tighter control of tip geometry and careful optimization of scan parameters and algorithms for using CNT tips.

20 citations


Journal ArticleDOI
TL;DR: In this article, the authors developed a rigorous uncertainty estimate for TEM/CD-AFM tip width calibration, and compared how information from the two electron microscopy modes are applied to practical CD-CFM measurements.
Abstract: One of the key challenges in critical dimension (CD) metrology is finding suitable dimensional calibration standards. The transmission electron microscope (TEM), which produces lattice-resolved images having scale traceability to the SI (International System of Units) definition of length through an atomic lattice constant, has gained wide usage in different areas of CD calibration. One such area is critical dimension atomic force microscope (CD-AFM) tip width calibration. To properly calibrate CD-AFM tip widths, errors in the calibration process must be quantified. Although the use of TEM for CD-AFM tip width calibration has been around for about a decade, there is still confusion on what should be considered in the uncertainty analysis. We characterized CD-AFM tip-width samples using high-resolution TEM and high angle annular dark field scanning TEM and two CD-AFMs that are implemented as reference measurement systems. The results are used to outline how to develop a rigorous uncertainty estimate for TEM/CD-AFM calibration, and to compare how information from the two electron microscopy modes are applied to practical CD-AFM measurements. The results also represent a separate validation of previous TEM/CD-AFM calibration. Excellent agreement was observed.

20 citations


Journal ArticleDOI
TL;DR: The study shows that for x-ray scattering data, the covariance matrix adaptation coupled with a mean-absolute error log objective function is the most efficient combination of algorithm and goodness of fit criterion for finding structures with little foreknowledge about the underlying fine scale structure features of the nanograting.
Abstract: We compare the speed and effectiveness of two genetic optimization algorithms to the results of statistical sampling via a Markov chain Monte Carlo algorithm to find which is the most robust method for determining real-space structure in periodic gratings measured using critical dimension small-angle x-ray scattering. Both a covariance matrix adaptation evolutionary strategy and differential evolution algorithm are implemented and compared using various objective functions. The algorithms and objective functions are used to minimize differences between diffraction simulations and measured diffraction data. These simulations are parameterized with an electron density model known to roughly correspond to the real-space structure of our nanogratings. The study shows that for x-ray scattering data, the covariance matrix adaptation coupled with a mean-absolute error log objective function is the most efficient combination of algorithm and goodness of fit criterion for finding structures with little foreknowledge about the underlying fine scale structure features of the nanograting.

20 citations


Journal ArticleDOI
TL;DR: In this article, the authors proposed iridium diffraction gratings at double the line spacing of the original HSQ lines, achieving a new record resolution in photolithography, achieving 6-nm half-pitch line/space patterns.
Abstract: Extreme ultraviolet interference lithography records the interference pattern of two diffracted, coherent light beams, where the pattern resolution is half the diffraction grating resolution. The fabrication of diffraction grating masks by e-beam lithography is restricted by the electron proximity effect and pattern transfer limitations into diffraction efficient materials. By patterning HSQ lines at a relaxed pitch to avoid the electron proximity effect, depositing conformal iridium via atomic layer deposition, followed by ion milling the top and bottom iridium and HSQ removal, we fabricated iridium diffraction gratings at double the line spacing of the original HSQ lines. Line/space patterns of 6-nm half-pitch patterns were achieved using these masks, marking a new record resolution in photolithography.

20 citations


Journal ArticleDOI
TL;DR: Standard-cell design and characterization are presented for 7-nm CMOS platform technology targeting low-power and high-performance applications with the tightest contacted poly pitch of 42 nm and a metallization pitch of 32 nm in the FinFET technology.
Abstract: Standard-cell design and characterization are presented for 7-nm CMOS platform technology targeting low-power and high-performance applications with the tightest contacted poly pitch of 42 nm and a metallization pitch of 32 nm in the FinFET technology. Two standard-cell architectures for 7 nm, a 9-track library and a 7.5-track library have been designed, introducing an extra middle-of-line layer to enable an efficient layout of the 7.5-track cells. The 7.5-track cells are on average smaller than the 9-track cells. With the strict design constraints imposed by self-aligned quadruple patterning and self-aligned double patterning, careful design and technology co-optimization is performed.

Journal ArticleDOI
TL;DR: In this article, an experimental determination of the process window for achromatic Talbot lithography with partially coherent extreme ultraviolet (EUV) radiation was performed using the EUV laboratory exposure tool.
Abstract: The main purpose of this work is the experimental determination of the process window for achromatic Talbot lithography with partially coherent extreme ultraviolet (EUV) radiation. This work has been performed using the EUV laboratory exposure tool. It consists of a discharge produced plasma source with a direct beam path to a phase-shifting transmission mask, avoiding losses due to additional optical components, the photoresist-coated wafer, and a positioning system for each component. Both the source and the mask are optimized for 11-nm wavelength. The process window has been identified by a systematic analysis of several exposure series. The optimization of exposure parameters resulted in 50-nm half-pitch of the wafer features using a transmission mask with a rectangular dot array of 70-nm half-pitch. The depth of field is found to be 20 μm, and it can be extended by spatial filtering. The exposure dose and mask–wafer distance are varied around their optimal values to estimate the process window, using defectivity of the pattern as a control parameter.

Journal ArticleDOI
TL;DR: In this article, the use of optical tweezers and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level.
Abstract: The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

Journal ArticleDOI
TL;DR: In this paper, a reflective mode EUV mask scanning lensless imaging tool (RESCAN) was presented, which was installed at the XIL-II beamline of the swiss light source and showed reconstructed aerial images of test patterns on EUV masks.
Abstract: For the successful implementation of extreme ultraviolet (EUV) lithography in the upcoming technology nodes, a major challenge to overcome is the stable and reliable detection and characterization of mask defects. We have recently presented a reflective mode EUV mask scanning lensless imaging tool (RESCAN) which was installed at the XIL-II beamline of the swiss light source and showed reconstructed aerial images of test patterns on EUV masks. RESCAN uses scanning coherent diffractive imaging (SCDI) methods to obtain actinic aerial images of EUV photomasks and was designed for 80 nm onmask resolution. Our SCDI algorithm reconstructs the measured sample by iteratively solving the phase problem using overdetermined diffraction data gathered by scanning across the specimen with a finite illumination. It provides the phase and amplitude aerial images of EUV photomasks with high resolution without the need to use high numerical aperture (NA) lenses. Contrary to scanning microscopy and full-field microscopy, where the resolution is limited by the spot size or NA of the lens, the achievable resolution with our method depends on the detector noise and NA of the detector. To increase the resolution of our tool, we upgraded RESCAN with a detector and algorithms. Here, we present the results obtained with the tool that is capable of up to 40-nm onmask resolution. We believe that the realization of our prototype marks a significant step toward overcoming the limitations imposed by methods relying on imaging optics and shows a viable solution for actinic mask metrology.

Journal ArticleDOI
TL;DR: In this paper, a line grating prepared via a self-aligned quadruple patterning method was measured using critical dimension small angle x-ray scattering, and a Monte Carlo Markov chain algorithm was used to analyze the uncertainty of the model fit over subsets of the full angular range and for a time series with decreasing signal-to-noise.
Abstract: A line grating prepared via a self-aligned quadruple patterning method was measured using critical dimension small angle x-ray scattering. A Monte Carlo Markov chain algorithm was used to analyze the uncertainty of the model fit over subsets of the full angular range and for a time series with decreasing signal-to-noise in order to determine the effect of the data quality on the final profile shape uncertainty. These results show how the total measurement time can be reduced while maintaining satisfactory profile shape uncertainty. We found that the typical measurement conditions are highly oversampled and can be reduced considerably with only marginal effect on the shape uncertainty. A comparison is made between the synchrotron measurements and a laboratory system, demonstrating that both measurements result in similar structures.

Journal ArticleDOI
TL;DR: In this article, a combination of optical and focused ion beam (FIB) lithography techniques was used to realize large-scale photonic crystal (PhC)-based devices using a specially designed mask and using optical lithography along with reactive ion etching.
Abstract: This paper demonstrates an approach for fabricating large-scale photonic crystal (PhC)-based devices using a combination of optical and focused ion beam (FIB) lithography techniques. Optical lithography along with reactive ion etching parameters is optimized to realize the layout of device structure and thereafter FIB milling is optimized to realize the designed PhC structure at those identified locations. At first, with the help of a specially designed mask and using optical lithography along with reactive ion etching, a number of rectangular areas of dimension of 10 μm×20 μm along with input and output waveguides of width ∼700 nm and thickness of ∼250 nm have been fabricated. Subsequently, use of FIB milling, a periodic PhC structure of lattice constant of 600 nm, having a hole diameter of ∼480 nm along with a defect hole diameter of ∼250 nm have been realized successfully on the selected areas. This method shows a promising application in fabricating PhC structure with device size >1 cm2 at large scale, eliminating the problems of standard nanolithography techniques.

Journal ArticleDOI
TL;DR: In this paper, a single-pole double-throw (SPDT) switch based on series capacitive configuration is proposed, and the critical process parameters are analyzed to improve the fabrication process.
Abstract: A compact radiofrequency (RF) MEMS single-pole double-throw (SPDT) switch based on series capacitive configuration is proposed. The critical process parameters are analyzed to improve the fabrication process. A technique of cold–hot thermal shock for lift-off method is explored. The residual stress in the structure is quantified by lancet test structures that come out to be 51 MPa. Effect of residual stress on actuation voltage is explored, which changes its value from 24 to 22 V. Resonance frequency and switching speed of the switch are 11 kHz and 44 μs, respectively, measured using laser Doppler vibrometer. Measured bandwidth of the SPDT switch is 20 GHz (5 to 25 GHz), which is verified with finite element method simulations in high frequency structure simulator© and an equivalent LCR circuit in advanced design system©. Insertion loss of the switch lies in −0.1 to −0.5 dB with isolation better than −20 dB for the above-mentioned bandwidth.

Journal ArticleDOI
TL;DR: This work presents a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites, shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing.
Abstract: Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator—capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

Journal ArticleDOI
TL;DR: To handle high dimensionality and diverse layout feature types, this work proposes a nonlinear dimensionality reduction technique with kernel parameter optimization and develops a Bayesian model-based clustering, through which automatic sampling is realized without arbitrary setting of model parameters.
Abstract: Effective layout pattern sampling is a fundamental component for lithography process optimization, hotspot detection, and model calibration. Existing pattern sampling algorithms rely on either vector quantization or heuristic approaches. However, it is difficult to manage these methods due to the heavy demands of prior knowledge, such as high-dimensional layout features and manually tuned hypothetical model parameters. We present a self-contained layout pattern sampling framework, where no manual parameter tuning is needed. To handle high dimensionality and diverse layout feature types, we propose a nonlinear dimensionality reduction technique with kernel parameter optimization. Furthermore, we develop a Bayesian model-based clustering, through which automatic sampling is realized without arbitrary setting of model parameters. The effectiveness of our framework is verified through a sampling benchmark suite and two applications: lithography hotspot detection and optical proximity correction.

Journal ArticleDOI
TL;DR: A systematic framework is proposed to evaluate the library-level robustness over multiple patterning lithography from two perspectives, including complete checks on two-row combinations of cells and long-range interactions.
Abstract: For robust standard cell design, designers need to improve the intercell compatibility for all combinations of cells and cell placements. Multiple patterning lithography colorability check breaks the locality of traditional rule check, and N-wise checks are strongly needed to verify the colorability for layout interactions across cell boundaries. A systematic framework is proposed to evaluate the library-level robustness over multiple patterning lithography from two perspectives, including complete checks on two-row combinations of cells and long-range interactions. With complete checks on two-row combinations of cells, the vertical and horizontal boundary checks are explored to predict illegal cell combinations. For long-range interactions, random benchmarks are generated by cell shifting and tested to evaluate the placement-level efforts needed to reduce the manufacturing complexity from quadruple patterning lithography to triple patterning lithography for the middle-of-line (MOL) layers. Our framework is tested on the MOL layers but can be easily adapted to other critical layers with multiple patterning lithography constraints.

Journal ArticleDOI
TL;DR: A design method for DSA via patterning in sub-7-nm nodes is discussed, options to expand the list of usable DSA templates are presented, and cost functions and algorithms for the optimal DSA-aware via layout decomposition are formulated.
Abstract: Major advancements in the directed self-assembly (DSA) of block copolymers have shown the technique’s strong potential for via layer patterning in advanced technology nodes. Molecular scale pattern precision along with low cost processing promotes DSA technology as a great candidate for complementing conventional photolithography. Our studies show that decomposition of via layers with 193-nm immersion lithography in realistic circuits below the 7-nm node would require a prohibitive number of multiple patterning steps. The grouping of vias through templated DSA can resolve local conflicts in high density areas, limiting the number of required masks, and thus cutting a great deal of the associated costs. A design method for DSA via patterning in sub-7-nm nodes is discussed. We present options to expand the list of usable DSA templates and we formulate cost functions and algorithms for the optimal DSA-aware via layout decomposition. The proposed method works a posteriori, after place-and-route, allowing for fast practical implementation. We tested this method on a fully routed 32-bit processor designed for sub-7 nm technology nodes. Our results demonstrate a reduction of up to four lithography masks when compared to conventional non-DSA-aware decomposition.

Journal ArticleDOI
TL;DR: In this paper, the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension uniformity is discussed, and the local angle of a wrinkle is the main factor that influences CD uniformity.
Abstract: The usage of an extreme ultraviolet (EUV) pellicle is regarded as a potential solution for defect control because it can protect the mask from airborne debris. However, some obstacles disrupt realistic application of the pellicle, such as its structural weakness, the risk of thermal damage, and so on. For these reasons, flawless fabrication of the pellicle is impossible. We discuss the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension (CD) uniformity. When we consider a 16-nm periodic pattern with dipole illumination, a transmission difference (max-min) of 0.7% causes CD uniformity of 0.1 nm. The deflection of the aerial image caused by gravity is small enough to ignore. CD uniformity is <0.1 nm, even for the current gap of 2 mm between the mask and pellicle. However, wrinkling of the EUV pellicle, caused by heat, can cause serious image distortion because a wrinkled EUV pellicle experiences both transmission loss variation as well as CD nonuniformity. The local angle of a wrinkle (as opposed to the period or amplitude of a wrinkle) is the main factor that influences CD uniformity, and a local angle of <∼16 deg is needed to achieve 0.1-nm CD uniformity for a 16-nm L/S pattern.

Journal ArticleDOI
TL;DR: In this paper, the authors used a coarse-grained model to study the kinetics of defect removal in block copolymer-directed self-assembly (BCP-DSA) methods.
Abstract: State-of-the-art block copolymer (BCP)—directed self-assembly (DSA) methods still yield defect densities orders of magnitude higher than is necessary in semiconductor fabrication despite free-energy calculations that suggest equilibrium defect densities are much lower than is necessary for economic fabrication. This disparity suggests that the main problem may lie in the kinetics of defect removal. This work uses a coarse-grained model to study the rates, pathways, and dependencies of healing a common defect to give insight into the fundamental processes that control defect healing and give guidance on optimal process conditions for BCP-DSA. It is found that bulk simulations yield an exponential drop in defect heal rate above χN∼30. Thin films show no change in rate associated with the energy barrier below χN∼50, significantly higher than the χN values found previously for self-consistent field theory studies that neglect fluctuations. Above χN∼50, the simulations show an increase in energy barrier scaling with 1/2 to 1/3 of the bulk systems. This is because thin films always begin healing at the free interface or the BCP-underlayer interface, where the increased A−B contact area associated with the transition state is minimized, while the infinitely thick films cannot begin healing at an interface.

Journal ArticleDOI
TL;DR: In this paper, a thin-film microelectromechanical system thermoelectric sensor fabricated by a low-temperature thermally assisted lift-off process is presented.
Abstract: This paper presents a thick-film microelectromechanical systems thermoelectric sensor fabricated by a low-temperature thermally assisted lift-off process. During the process, thick metal or semiconductor films experience controlled breakup due to thermal reflow of the underlying lithographically defined photoresist patterns, thereby facilitating the sacrificial removal of the photoresist. This enables rapid and reliable patterning of thick films that can otherwise be difficult to achieve by conventional processes. Experimental results with a sensor consisting of a 60-junction thick-film antimony–bismuth thermopile demonstrate an electric conductivity of 5.44×106 S/m and a Seebeck coefficient of 114 μV/K per junction, which are comparable to those obtained from bulk materials. Thus, the thick-film sensor can potentially allow low-noise, high-efficiency thermoelectric measurements.

Journal ArticleDOI
TL;DR: In this article, the application of Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry to optically characterize DSA patterned contact hole structures fabricated with phase-separated polystyrene-b-polymethylmethacrylate (PS-b)-PMMA is described.
Abstract: Directed self-assembly (DSA) is a potential patterning solution for future generations of integrated circuits. Its main advantages are high pattern resolution (∼10 nm), high throughput, no requirement of high-resolution mask, and compatibility with standard fab-equipment and processes. The application of Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry to optically characterize DSA patterned contact hole structures fabricated with phase-separated polystyrene-b-polymethylmethacrylate (PS-b-PMMA) is described. A regression-based approach is used to calculate the guide critical dimension (CD), DSA CD, height of the PS column, thicknesses of underlying layers, and contact edge roughness of the post PMMA etch DSA contact hole sample. Scanning electron microscopy and imaging analysis is conducted as a comparative metric for scatterometry. In addition, optical model-based simulations are used to investigate MM elements’ sensitivity to various DSA-based contact hole structures, predict sensitivity to dimensional changes, and its limits to characterize DSA-induced defects, such as hole placement inaccuracy, missing vias, and profile inaccuracy of the PMMA cylinder.

Journal ArticleDOI
TL;DR: In this paper, the impact of different pattern design and scanner-related edge displacement drivers on pattern logic device metal layer cuts has been analyzed, and conclusions on edge placement control versus imaging performance requirements have been drawn.
Abstract: As new microelectronic designs are being developed, the demands on image overlay and pattern dimension control are compounded by requirements that pattern edge placement errors (EPEs) be at a single-nanometer levels. Scanner performance plays a key role in determining location of the pattern edges at different device layers, not only through overlay but also through imaging performance. The imaging contributes to edge displacement through the variations of the image dimensions and by shifting the images from their target locations. We discuss various aspects of advanced image control relevant to a 10-nm node integrated circuit design. We review a range of issues of pattern edge placement directly linked to pattern imaging. We analyze the impact of different pattern design and scanner-related edge displacement drivers. We present two examples of imaging strategies to pattern logic device metal layer cuts. We analyze EPEs of the cut images resulting from optimized layout design and scanner setup, and we draw conclusions on edge placement control versus imaging performance requirements.

Journal ArticleDOI
TL;DR: In this paper, a semi-isolated dark field two-bar logic (SDF) building block is proposed to solve the problem of large mask three-dimensional-induced relative focus-dependent critical dimension (CD) asymmetries, which limits its overlapping process window and gives rise to untenable full wafer CD uniformity and intrafield pattern shifts.
Abstract: We shed light on the optimization of lithographic metrics for the semi-isolated dark field two-bar logic building block. Under standard D90Y illumination, this building block suffers from large mask three-dimensional-induced relative focus-dependent critical dimension (CD) asymmetries. Such behavior limits its overlapping process window (oPW) and gives rise to untenable full wafer CD uniformity and intrafield pattern shifts. We found that besides a Ta absorber thickness reduction and illumination, pupil optimization is necessary to fully remove these CD asymmetries. The pupil optimization is achieved by relating the aerial image decomposition (here, symmetrization and balancing of intensities across the diffracted orders) with lithographic metrics for each pupil plane location. The resulting pupil allows us (i) to lift the focus-dependent CD asymmetries and (ii) to co-optimize a number of lithographic metrics, such as oPW, contrast, nontelecentricity, and pattern shift. The importance of subsidiary conditions (e.g., symmetry of the pupil, required depth-of-focus) will be discussed.

Journal ArticleDOI
Lei Wang1, Sikun Li1, Xiangzhao Wang1, Guanyong Yan1, Chaoxing Yang1 
TL;DR: An efficient source optimization approach on the basis of particle swarm optimization that has a strong adaptation to various lithographic models, fitness functions, and resist models and leads to faster convergence while improving the image quality at the same time.
Abstract: Source optimization is one of the key techniques for achieving higher resolution without increasing the complexity of mask design. An efficient source optimization approach is proposed on the basis of particle swarm optimization. The pixelated sources are encoded into particles, which are evaluated by using the pattern error as the fitness function. Afterward, the optimization is implemented by updating the velocities and positions of these particles. This approach is demonstrated using three mask patterns, including a periodic array of contact holes, a vertical line/space design, and a complicated pattern. The pattern errors are reduced by 69.6%, 51.5%, and 40.3%, respectively. Compared with the source optimization approach via genetic algorithm, the proposed approach leads to faster convergence while improving the image quality at the same time. Compared with the source optimization approach via gradient descent method, the proposed approach does not need the calculation of gradients, and it has a strong adaptation to various lithographic models, fitness functions, and resist models. The robustness of the proposed approach to initial sources is also verified.

Journal ArticleDOI
TL;DR: In this paper, the authors used a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow and used this model to discriminate pitch walking parameters at a specific process step.
Abstract: Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.

Journal ArticleDOI
TL;DR: In this article, the template fabrication process and its performance are presented with consideration of the requirements of NIL for high-volume manufacturing, including defectivity, image placement, and critical dimension uniformity.
Abstract: Development of nanoimprint lithography (NIL) templates is discussed. The template fabrication process and its performance are presented with consideration of the requirements of NIL for high-volume manufacturing. Defectivity, image placement, and critical dimension uniformity are the three major performance parameters of the templates, and their current status is shown.