scispace - formally typeset
Open AccessJournal ArticleDOI

Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces

Reads0
Chats0
TLDR
In this paper , the authors adopted (111)-oriented Cu with high surface diffusivity to achieve low-temperature and low-pressure Cu/SiO2 hybrid bonding.
Abstract
We adopted (111)-oriented Cu with high surface diffusivity to achieve low-temperature and low-pressure Cu/SiO2 hybrid bonding. Electroplating was employed to fabricate arrays of Cu vias with 78% (111) surface grains. The bonding temperature can be lowered to 200 °C, and the pressure is as low as 1.06 MPa. The bonding process can be accomplished by a 12-inch wafer-to-wafer scheme. The measured specific contact resistance is 1.2 × 10−9 Ω·cm2, which is the lowest value reported in related literature for Cu-Cu joints bonded below 300 °C. The joints possess excellent thermal stability up to 375 °C. The bonding mechanism is also presented to provide more understanding on hybrid bonding.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

Stress Issue of Vertical Connections in 3D Integration for High-Bandwidth Memory Applications

TL;DR: In this paper , the authors investigated the stress of TSV with different dimensions under annealing condition and showed that the adjustment on TSV aspect ratios results in different stress values, and the aspect ratio of 1:8 results in the largest stress in the analysis.
Journal ArticleDOI

Recent progress on bumpless Cu/SiO2 hybrid bonding for 3D heterogeneous integration

TL;DR: In this paper , the cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture, which can effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips.
Journal ArticleDOI

Enhancement of fatigue resistance by recrystallization and grain growth to eliminate bonding interfaces in Cu–Cu joints

TL;DR: In this paper , cracks were observed to propagate along the original bonding interface of the Cu microbumps with 30 μm in diameter after temperature cycling tests (TCTs) for 1000 cycles.
Journal ArticleDOI

Localized Induction Heating of Cu-Sn Layers for Rapid Solid-Liquid Interdiffusion Bonding Based on Miniaturized Coils

TL;DR: In this article , an inductive heating system using micro coils for rapid Cu-Sn solid-liquid interdiffusion (SLID) bonding at chip-level is presented. But the authors do not discuss the application of the micro coils in 3D integration and packaging of microelectronic or micromechanical components.
Journal ArticleDOI

Research Progress of Electroplated Nanotwinned Copper in Microelectronic Packaging

TL;DR: In this paper , the preparation-performance-reliability interrelationship of nanotwinned copper (nt-Cu) is summarized and displayed, which provides a solid theoretical basis for its practical applications.
References
More filters
Journal ArticleDOI

Ultrahigh strength and high electrical conductivity in copper

TL;DR: Pure copper samples with a high density of nanoscale growth twins are synthesized and show a tensile strength about 10 times higher than that of conventional coarse-grained copper, while retaining an electrical conductivity comparable to that of pure copper.
Journal ArticleDOI

Observation of atomic diffusion at twin-modified grain boundaries in copper

TL;DR: The triple point where a twin boundary meets a grain boundary was found to slow down grain-boundary and surface electromigration by one order of magnitude, and it is proposed that this occurs because of the incubation time of nucleation of a new step at the triple points.
Journal ArticleDOI

Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper

TL;DR: The highly oriented and nanotwinned Cu (nt-Cu) allow for the unidirectional growth of Cu6Sn5 intermetallics in the microbumps of three-dimensional integrated-circuit packaging; a uniform microstructure in a large number of microbump of controlled orientation can be obtained.
Journal ArticleDOI

Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu

TL;DR: Direct Cu-to-Cu bonding was achieved at temperatures of 150–250 °C using a compressive stress of 100 psi (0.69 MPa) held for 10–60 min at 10−3 torr.
Proceedings ArticleDOI

Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding

TL;DR: Wang et al. as mentioned in this paper have successfully mass-produced novel stacked back-illuminated CMOS image sensors (BI-CIS), which introduced advanced Cu2Cu hybrid bonding that had developed.
Related Papers (5)