scispace - formally typeset
Open AccessJournal ArticleDOI

Microhotplates with TiN heaters

Reads0
Chats0
TLDR
In this article, two types of sputtered TiN are considered: high stress and low stress, and their performance is compared with that of e-beam evaporated Pt. Failure of the TiN heaters is due to rupture of the membrane.
Abstract
Titanium nitride (TiN) has been investigated as a heater material for microhotplates and microreactors. TiN is available in many CMOS processes, unlike many other microheater materials. In addition, TiN has a very high melting point (2950 ◦C) meaning that it is stable up to higher temperatures than platinum (Pt) and polysilicon. For the first time, TiN is tested inside a conventional membrane of LPCVD silicon nitride (SiN). Two types of sputtered TiN are considered: high stress and low stress. Their performance is compared with that of e-beam evaporated Pt. The maximum average temperature of TiN heaters is 11% higher than those of Pt, and reaches over 700 ◦C. Failure of the TiN heaters is due to rupture of the membrane. Failure of the Pt heater is due to electro-stress migration. For high-stress TiN, the temperature coefficient of resistance is almost constant and close to that of Pt, making the material very suitable for temperature sensing. In the case of low-stress TiN the temperature coefficient of resistance (TCR) becomes nonlinear and changes sign. The large differences between the materials are explained by the grain structure. The different grain structures are related to the sputtering parameters according to the Thornton model.

read more

Content maybe subject to copyright    Report

Microhotplates with TiN heaters
J.F. Creemer
a,c,
, D. Briand
b
, H.W. Zandbergen
c
, W. van der Vlist
a
,
C.R. de Boer
a
,N.F.deRooij
b
,
P.M. Sarro
a
a
DIMES-ECTM (Delft Institute of Microsystems and Nanoelectronics), Delft University of Technology, PO Box 5053, 2600 GB Delft, Netherlands
b
Institute of Microtechnology University of Neuchâtel, rue Jaquet-Droz 1, P.O. Box 526, CH-2002 Neuchâtel, Switzerland
c
High Resolution Electron Microscopy, Kavli Institute of NanoScience, Delft University of Technology, Lorentzweg 1, 2628 CJ Delft, Netherlands
Keywords:
TiN
Pt
Heater
Microhotplates
abstract
Titanium nitride (TiN) has been investigated as a heater material for microhotplates and microreactors. TiN
is available in many CMOS processes, unlike many other microheater materials. In addition, TiN has a very
high melting point (2950
C) meaning that it is stable up to higher temperatures than platinum (Pt) and
polysilicon. For the first time, TiN is tested inside a conventional membrane of LPCVD silicon nitride (SiN).
Two types of sputtered TiN are considered: highstress and low stress. Their performance is compared with
that of e-beam evaporated Pt. The maximum average temperature of TiN heaters is 11% higher than those
of Pt, and reaches over 700
C. Failure of the TiN heaters is due to rupture of the membrane. Failure of the
Pt heater is due to electro-stress migration. For high-stress TiN, the temperature coefficient of resistance
is almost constant and close to that of Pt, making the material very suitable for temperature sensing. In
the case of low-stress TiN the temperature coefficient of resistance (TCR) becomes nonlinear and changes
sign. The large differences between the materials are explained by the grain structure. The different grain
structures are related to the sputtering parameters according to the Thornton model.
1. Introduction
MEMS microhotplates generate high temperatures at low power
consumption and exhibit a fast thermal response time. For this
reason, they are often used for gas sensors [1,2], membrane-type
microreactors [3–9], materials characterization [6–8], and infrared
emitters [1,10]. A microhotplate generally consists of a thin film
heater coil, wire, or meander which is suspended within a sili-
con rim for thermal isolation. Often, the heater is supported by a
membrane containing low-stress silicon nitride (SiN). The average
temperature of the heater is determined from the change of the
electrical resistance of the heater or of an additional wire used as
temperature sensor.
A popular material for hotplate heaters is platinum (Pt)
[2,4,10–14]. This metal can handle large current densities, is highly
resistant against oxidation, and can operate up to 550–600
C with-
out structural changes [4]. It has a melting point of 1768
C. In
addition, it is very suitable for temperature sensing because of
its stable temperature coefficient of resistance (TCR). The disad-
Corresponding author at: DIMES-ECTM (Delft Institute of Microsystems and
Nanoelectronics), Delft University of Technology, PO Box 5053, 2600 GB Delft,
Netherlands. Tel.: +31 15 2786277.
E-mail address: j.f.creemer@tudelft.nl (J.F. Creemer).
vantages of Pt are its temperature limit, and the fact that it is
nonstandard in CMOS fabrication technology.
For these reasons, hotplate heaters have been developed from
various other materials to have a complete compatibility with
CMOS processes or to increase the temperature of operation of hot-
plates for applications inmicro-reactors, micro-SOFCs and micro-IR
emitters for instance. Different materials available in CMOS pro-
cesses have been evaluateduntil nowasheatingelements. The most
obvious material that was first used as heater was polysilicon (poly-
Si) [1,15,16]. Poly-Si is useful up to 550
C, above which its resistivity
becomes unstable [17,18]. Lately, heaters based on monocrystalline
silicon were developed for CMOS hotplates but these MOSFET type
heaters still suffer of a lack of stability at high temperature [19,20].
Heaters for higher temperatures, up to 1000
C, have been made of
tantalum silicide (Ta
5
Si
3
) [21]. Work has been also reported on the
use of tungsten based heaters in a SOI technology with satisfying
results for the operation of hotplates for metal-oxide gas sensors
(300–500
C) but limitations in their stability when operated at
temperatures higher than 600
C [22,23]. However, this material is
not so widely available. It is possible to reach over 1000
C by using
tin oxide doped with antimony [24], and by poly-SiC [25]. Unfortu-
nately, those materials are still nonstandard in CMOS technology.
As an alternative material, we have investigated titanium nitride
(TiN) [26]. Thin layers of TiN are widely used in CMOS metalliza-
tion processes as a diffusion barrier, so the tools for deposition are
,
, ,
,
Published in Sensors and Actuators A: Physical 148, issue 2, 416-421, 2008
which should be used for any reference to this work
1

widely available. The element Ti has little or no influence on CMOS
transistor operation, even when used in the frond endof the process
[27]. In addition, TiN has the potential to reach high temperatures
because of its very high melting point (2950
C for bulk material). It
can have a low electrical resistivity (20 cm [28]), depending on
the conditions of deposition. Incidentally, TiN has been used as a
heater before [29], but not in hotplates. For this application, TiN has
the additional advantage that the residual stress can be tuned over
a wide range. This increases the strength of the heater. It also has a
very moderate heat conductivity (15 W m
1
K
1
for bulk material).
This promises low conductive heat losses through the connecting
wires.
This paper presents the fabrication of hotplates with two types
of TiN. Their performance is characterized with respect to resis-
tivity, TCR, maximum heater temperature, and response time. The
hotplates are compared to Pt hotplates of the same geometry. The
observed properties of TiN are related to the deposition conditions
and to its grain structure.
2. Design, fabrication, and characterization
The layout of our hotplates is quite classical and is shown in
Fig. 1. It consists of a metallic heater coil of 0.33 mm wide and
210 nm thick, which is sandwiched in between a membrane of sili-
con nitride of 1mm wide and 1 m thick. This construction gives an
electrical and chemical isolation from the atmosphere. Our reactor
application requires a closed membrane and low thermal losses to
the rim, so silicon bridges as in [1] are not incorporated. The heater
is equipped with four contacts to enable accurate measurement of
the resistance and power dissipation of the hot zone. Hotplates of
such a design loose heat mainly by natural convection; radiation
and conduction are much smaller [30]. This means that the ther-
mal resistance towards the environment depends mainly on the
geometry and is quite independent of the heater material.
The hotplates are fabricated on (1 0 0) silicon wafers of 100 mm
wide and 525 m thick. These are covered by 200 nm wet thermal
oxide and 500 nm low-stress SiN deposited by LPCVD at 850
C. The
heaters are deposited and patterned, and covered by second layer
of 500 nm low-stress LPCVD SiN. Contact holes to the bond pads are
opened by plasmaetching.On the back side, windows are opened in
the nitride toform a mask for etching the silicon substrate. This etch
is performed in a solution of potassium hydroxide (KOH, 33 wt.%,
at 85
C) to release the membranes. The result is shown in Fig. 2.
Fig. 1. Schematic cross-section of the hotplates and top view of the heater coil [26].
Fig. 2. Pt hotplate during operation under an optical microscope. The shadow is due
to thermal buckling [26].
The heaters of TiN are made by reactive sputtering in a Trikon
Sigma dc magnetron reactor. The TiN has a thickness of 200 nm
and is deposited on 10 nm Ti for a better adhesion to the SiN.
Two different types of TiN are sputtered: the standard one with
high residual stress, and one with low stress. The major sputtering
parameters are given in Table 1. The stress in the TiN is determined
from the wafer curvature with a Tencor FLX 2908. The TiN layers
are patterned by plasma etching with a chlorine-based chemistry
similar to that used foretching aluminum. The contact windows are
opened by a plasmaetchwhichis fluorine-based. Inbothetches,the
selectivity is low and an end point detection mechanism is essen-
tial. The Pt heaters consist of 185 nm Pt e-beam evaporated on top
of a 15 nm Ta adhesion layer. They are patterned using a lift-off
process.
The microstructureoftheTiN is examined by using an FEI CM30T
transmission electron microscope (TEM). The electrical character-
ization is done with an Agilent 4156C parameter analyzer. With
this apparatus, the sheet resistance is measured on Van der Pauw
structures. The spirals are heated up to failure by increasing the
voltage, in 100 steps of 1 s each. The maximum applied voltages
are 14, 100, and 9 V for the heaters of high-stress TiN, low-stress
TiN, and Pt, respectively. The temperature of the heaters is esti-
mated from the resistance of Pt and from the power dissipation.
It is assumed that the resistance of Pt increases linearly with the
temperature, with a TCR of 2.08 × 10
3
C
1
. This value is based
on previously calibrated hotplates with the same Pt layer [31,32].
It also corresponds with the experience that Pt thin film heaters
start to glow visibly at 600
C [4]. More accurate measurements
could be obtained from experiments in a furnace or on a hot chuck,
from IR emission spectroscopy, or by using miniature thermocou-
ples [1,21,33]. The time constant is evaluated by using a waveform
generator and an oscilloscope. First, a block-shaped voltage wave of
10 Hzisapplied,alternatingbetween0Vandhalfway the maximum
input voltage. Meanwhile, the resistance changes are monitored.
Second, the small-signal bandwidth is determined by sweeping the
Table 1
Major parameters for sputtering of TiN with high and low residual stress
Parameter High stress Low stress
N2 partial pressure (Pa) 0.41 1.8
Total pressure (Pa) 0.53 2.3
Power (kW) 12 0.5
Substrate temperature (
C) 350 350
Bias voltage (V) 0 0
2

frequency of an AC voltage superposed on a DC voltage of half the
maximum input voltage.
3. Results
After deposition the low-stress TiN has a color which is red-
dish, and the high-stress TiN has a color between gold and copper.
The residual stress in the second TiN is compressive and very
high: 16.4 GPa. The stress in the other layers is slightly tensile:
+0.1 GPa. The high-stress layers are not very well compatible with
the remainder of the processing. During the second LPCVD step
at 850
C, they cause blisters and delamination. This has a neg-
ative influence on the yield. The two types of TiN show marked
differences in the sheet resistances: 2.7 /sq for the high-stress
TiN and 0.17 k/sq for the low-stress TiN. The sheet resistance of Pt
is 1.4 /sq. The stress value for the Pt after the second deposition
of SiN is 1.0 GPa.
During the processing, the TiN must be protected against oxi-
dation. In particular, it should not be heated above 200
Cinan
oxygen-containing atmosphere. Also, it should not be cleaned in
an RCA SC-1 solution because this is an etchant for Ti and TiN [34].
Finally, it is noticed that the TiN peels of in a KOH solution. The TiN
is porous, so that the KOH dissolves the underlying Ti layer.
By applying electrical power, TiN heaters can be brought to emit
an intense yellow light; see Fig. 3. The Pt heaters, on the other
hand, emit a faint red glow in the dark when heated to the maxi-
mum. The resistance changes, power dissipation, and temperatures
are shown in Figs. 4 and 5. The maximum values in these figures
correspond to the maximum dissipated power before failure. The
maximum power of the TiN heaters is 40% higher than that of the
Pt heaters. The corresponding temperatures are approximately 720
and 650
C. Based on thesevalues, the TCR of high-stress TiN is equal
to 1.4 × 10
3
C
1
. The increase in resistance with temperature is
fairly linear. The low-stress TiN, on the contrary, has a resistance
which changes nonlinearly with the temperature. The change even
becomes negative at high temperatures. At this stage, no difference
in robustness is observed between the high- and low-stress TiN.
When heated to the limit, the TiN and Pt hotplates fail in a dif-
ferent way. This is shown in Figs. 6 and 7. All TiN heaters fail by
rupture of the supporting membranes. In addition, they show blis-
ters (delaminations) on the hot parts of the spiral. The blistering
is symmetric with respect to the center of the spiral, except for a
minor extension onto the two current leading contacts (top right
and bottom left). The Pt heaters, on the contrary, do not cause rup-
Fig. 3. TiN hotplate during operation at its highest temperature, with the illumina-
tion of the microscope turned off [26].
Fig. 4. Resistance change versus dissipated power, for heaters of high-stress TiN
(TiN hs), platinum (Pt) and low-stress TiN (TiN ls) [26].
Fig. 5. Resistance change as a function of temperature, for heaters of high-stress TiN
(TiN hs), platinum (Pt) and low-stress TiN (TiN ls) [26].
ture of the membrane. Instead, they show some small blisters on
the central, hot area accompanied by staining and roughening of
the Pt surface. These observations through the optical microscope
are confirmed by inspection in a SEM in backscattering mode. Both
the TiN and the Pt heaters fail at a current density of 5 × 10
5
A/cm
2
.
Fig. 6. TiN hotplate after heating up to failure. The membrane is ruptured and the
hot parts of the coil are severely blistered.
3

Fig. 7. Central part of a Pt hotplate after heating up to failure. On the hottest area,
the SiN cover layer has popped off in two blisters. In addition, the Pt wire is stained
and roughened.
The examination with the TEM reveals different grain structures
for low- and high-stress TiN. As shown in Fig. 8, high-stress mate-
rial consists of densely packed fibrous grains with a typical width
of 10 nm. The structure corresponds to Zone T of the Thornton clas-
sification of sputtered layers [35–37]. Low-stress material, on the
other hand, has a porous structure of fibrous grains and contains
many voids; see Fig. 9. Dark field images, not shown here, indicate
that the grain width is equally around 10 nm. The grain structure
corresponds to Zone 1 of the Thornton classification.
The time constant of the step response is 7 ms. The behaviour
is that of a first-order system; with our setup no difference can
Fig. 8. High-stress TiN layer seen in cross-section by a TEM. The layer consists of
long fibrous grains of approximately 10nm wide [26].
Fig. 9. Low-stress TiN layer seen in cross-section by a TEM. The white stripes are
voids between the grains, shown enlarged in the inset [26].
be observed between the heating and the cooling rates. The
measured small-signal bandwidth is 3 × 10
1
Hz. No difference
between TiN and Pt hotplates observed, most probably because the
thermal resistance is dominated by convection, which is material-
independent.
4. Discussion
The colors of the TiN layers indicate that they are both slightly
rich of nitrogen [38]. The reddish color of low-stress TiN points
towards a higher nitrogen content than the golden-copper appear-
ance of the high-stress material. This can be explained by the
relatively high nitrogen pressure during deposition [39]. It also
agrees with the resistivity measurements. The resistivity of the
high-stress material (57 cm) is much closer to the minimum
value reported in literature (20 cm [27]) than the resistivity of
the low-stress material (3.6 m cm). The minimum in literature
was reached only by stoichiometric layers, which are character-
ized by a yellow golden color. To reach the minimum, the material
should also be free of oxygen contamination [39,40]. This can be
obtained by applying a bias voltage below 75 V to the substrate.
In the sputtering of our TiN no bias voltage has been applied.
High-stress TiN has an appreciable and linear increase in resis-
tivity with temperature, which is interesting for sensing purposes.
However, the resistance change of low-stress TiN is fluctuating over
the temperature range and is therefore not so useful.
The difference in stressbetweenthe TiN layersmay be attributed
for a part to the influence of ‘ion peening’. This is the ion bom-
bardment during the deposition causing a pressure and therefore
a residual stress in the layer. Low-stress TiN is sputtered at a much
lower power than high-stress TiN. Consequently, it grows under a
much lighter bombardment of ions [41].
4

To alarge extent, however, thedifferences in layerpropertiescan
be explained from the difference in morphology of the grain struc-
tures. The voids in the Zone 1 material absorb residual mechanical
stress. In addition, they are strong scatterers for electrons, which
increases the resistivity. Also, they can act as energy barriers for
electrons traveling between grains, which should also increase the
apparent resistivity.
In general, the difference between the grain morphologies is
introduced during the sputtering by a combination of gas pressure
and substrate temperature [35,36]. A high gas pressure impedes
surface diffusion of adatoms. This can b e attributed to an increas-
ing oblique component of the incident flux of gas atoms, developing
into hemispherical incidence [36]. The limited surface diffusion
increases shadowing effects and promotes the creation of voids.
The fact that TiN heaters reach higher temperatures than those
of Pt confirms our expectations. These were based on the rule of
thumb that above one-third of the melting point the grain bound-
aries of a material start to diffuse, thereby affecting the mechanical
strength and electrical resistance [21]. According to this rule, Pt
would be stable up to 400
C, whereas TiNshould work up to 800
C.
The fact that the TiN heaters emit more light is due to their higher
temperature, but can also be caused by the difference in emissiv-
ity. For the Pt heater the emissivity is 0.1; for the TiN heater it is
unknown for the moment. Theoretical predictions are complicated
by the transparent coating of SiN, and by the fact that TiN is par-
tially transparent to light, in contrast to Pt. It should also be noted
that our hotplate geometry here is not optimized for temperature
uniformity, meaning that temperature variations of about 15% can
be expected [31].
Failure of the TiN heaters is caused by rupture of the SiN
membrane, which is induced by thermal mechanical stress and,
probably, a weakening of the SiN strength at higher temperatures.
The residual stress plays only a minor role in this process, because
the high- and low-stress heaters almost fail at the same dissipated
power. Also, the delamination (blistering) seems not to be the pri-
mary source of failure, although it certainly shortens the lifetime of
the heater by causing stress concentrations and letting in oxygen
to the TiN. The delamination is primarily caused by the mismatch
in thermal expansion coefficients between the TiN and the SiN.
Nevertheless, it may be accelerated by a thinning of the adhesion
layer because of diffusion of the Ti atoms. A similar diffusion was
observed for Pt/Ta heaters [4].
The Pt/Ta heaters most likely fail due to electro-stress migra-
tion of the Pt atoms, which has been observed many times for
similar structures and temperatures [1,4,42–44]. Optical and SEM
images also point to this cause, although more information could
be obtained from cross-sections and an elemental analysis. The
observed stains can be attributed to the formation of hillocks and
holes in the Pt. These have b een observed in the past in Pt/Ta
thin films annealed above 550
C [4]. Such morphology changes are
driven by a combination of the elevated temperature and thermal
stress. The blistering of the Pt/Ta heater most probably occurs at the
interface between the SiN and the Pt. It has been observed in [4]
that adhesion of Pt/Ta to SiN remains intact up to 950
C, whereas
the adhesion of just Pt to SiN already degrades at 500
C.
5. Conclusion
Hotplates of TiN have been fabricated which heat up above
700
C. The temperature is 11% higher than similar hotplates made
of Pt. TiN with high residual stress has a good TCR for temperature
sensing, in contrast to low-stress TiN. However, the high stress lev-
els cause yield problems in the fabrication at present. For this type
of TiN, work is still to be done to produce layers which are satis-
factory in both the TCR and stress. Differences between high- and
low-stress TiN are related to the grain structure as well as to the
parameters of the sputtering. Low-stress TiN contains many voids.
They relax stress but strongly scatter the conduction electrons.
Acknowledgments
We would like to thank the technical staff of the DIMES Tech-
nology Centre, Delft University of Technology, and the technical
staff of ComLab, the joint IMT-CSEM clean room facility for their
support in the fabrication of the devices. We thank Peter Swart
for the photographs and the assistance with electrical measure-
ments, Warner Venstra for the assistance with the SEM, and Vassili
Svetchnikov for the TEM pictures. We are also grateful to Emile
van der Drift, Frans Tichelaar, Patricia Kooyman, Paul Alkemade,
and Jan-Dirk Kamminga for the helpful discussions. This research
is supported by the Technology Foundation STW, Applied Science
Foundation of NWO and the technology programme of the Ministry
of Economic Affairs.
References
[1] S. Semancik, R.E. Cavicchi, M.C. Wheeler, J.E. Tif fany, G.E. Poirier, R.M. Walton,
J.S. Suehle, B. Panchapakesan, D.L. DeVoe, Microhotplate platforms for chemical
sensor research, Sens. Actuators B 77 (2001) 579–591.
[2] I. Simon, N. Barsan, M. Bauer, U. Weimar, Micromachined metal oxide gas sen-
sors: opportunities to improve sensor performance, Sens. Actuators B 73 (2001)
1–26.
[3] R.M. Tiggelaar, P. van Male, J.W. Berenschot, J.G.E. Gardeniers, R.E. Oosterbroek,
M.H.J.M. de Croon, J.C. Schouten, A. van den Berg, M.C. Elwenspoek, Fabrication
of a high-temperature microreactor with integrated heater and sensor patterns
on an ultrathin silicon membrane, Sens. Actuators A 119 (2005) 196–205.
[4] R.M. Tiggelaar, Silicon-based microreactors for high-temperature heteroge-
neous partial oxidation reactions, Ph.D. dissertation, Univ. of Twente, Enschede,
The Netherlands, 2004.
[5] C. Zhang, K. Najafi, L.P. Bernal, P.D. Washabaugh, An integrated combustor-
thermoelectric micro power generator, Dig. Transducers ‘01, Munich, Germany,
June 10–14, 2001, pp. 34–37.
[6] D.W. Denlinger, E.N. Abarra, K. Allen, P.W. Rooney, M.T. Messer, S.K. Watson, F.
Hellman, Thin film microcalorimeter for heat capacity measurements from 1.5
to 800 K, Rev. Sci. Instrum. 65 (1994) 946–959.
[7] S.L. Lai, G. Ramanath, L.H. Allen, High-speed (10
4
C/s) scanning microcalorime-
try with monolayer sensitivity (J/m
2
), Appl. Phys. Lett. 67 (1995) 1229–
1231.
[8] D. Barrettino, M. Graf, W.H. Song, K.U. Kirstein, A. Hierlemann, H. Baltes,
Hotplate-based monolithic CMOS microsystems for gas detection and material
characterization for operating temperatures up to 500
C, IEEE J. Solid-State
Circuits 39 (2004) 1202–1207.
[9] S.B. Schaevitz, A.J. Franz, K.F. Jensen, M.A. Schmidt, A combustion-based MEMS
thermoelectric power generator, Dig. Transducers ‘01, Munich, Germany, June
10–14, 2001, pp. 30–33.
[10] W. Konz, J. Hildenbrand, M. Bauersfeld, S. Hartwig, A. Lambrecht, V. Lehmann,
J. Wöllenstein, Micromachined IR Source with excellent blackbody like
behaviour, Proc. SPIE 5836 (2005) 540–548.
[11] D. Briand, A. Krauss, B. van der Schoot, U. Weimar, N. Barsan, W. Göpel, N.F. de
Rooij, Design and fabrication of high-temperature micro-hotplates for drop-
coated gas sensors, Sens. Actuators B 68 (2000) 223–233.
[12] J.M. Gardner, S.M. Lee, P.N. Bartlett, S. Guerin, D. Briand, N.F. de Rooij, Silicon
planar microcalorimeter employing nanostructured films, Dig. Transducers ‘01,
Munich, Germany, June 10–14, 2001, pp. 820–823.
[13] A. Friedberger, P. Kreisl, E. Rose, G. Müller, G. Kühner, J. Wöllenstein, H. Böt-
tner, Micromechanical fabrication of robustlow-powermetaloxidegassensors,
Sens. Actuators B 93 (2003) 345–349.
[14] J. Courbat, D. Briand, N.F. de Rooij, Reliability improvement of suspended
platinum-based micro-heating elements, Sens. Actuators A 142 (2008)
284–291.
[15] M. Parameswaran, A.M. Robinson, D.L. Blackburn, M. Gaitan, J. Geist, Micro-
machined thermal radiation emitter from a commercial CMOS process, IEEE
Electron Device Lett. 12 (1991) 57–59.
[16] J. Laconte, C. Dupont, D. Flandre, J.-P. Raskin, SOI CMOS compatible low-power
microheater optimization for the fabrication of smart gas sensors, IEEE Sens. J.
4 (2004) 670–680.
[17] M. Ehmann, P. Ruther, M. von Arx, O. Paul, Operation and short term drift
of polysilicon-heated CMOS microstructures at temperatures up to 1200 K, J.
Microelectron. Microeng. 11 (2001) 397–401.
[18] O. Grudin, R. Marinescu, L. Landsberger, D. Cheeke, M. Kahrizi, Microstructure
release and test techniques for high-temperature micro hotplate, in: Proceed-
ings of the IEEE Canadian Conference on Electrical and Computer Engineering,
vol. 3, no. 9, May 12, 1999, pp. 1610–1615.
5

Citations
More filters
Journal ArticleDOI

Development of micro-heaters with optimized temperature compensation design for gas sensors.

TL;DR: A novel design of a poly-Si micro-heater is proposed to improve the uniformity of heat dissipation on the heating plate and shows a short response time of less than 20ms, indicating a very high efficiency of pulse driving.
Journal ArticleDOI

Technological Journey Towards Reliable Microheater Development for MEMS Gas Sensors: A Review

TL;DR: In this article, the technological developments related to the various designs and geometries of microheaters and their fabrication technology employing different suitable heating materials, for closed and suspended-type silicon membranes have been discussed critically with particular emphasis on the relative merits and demerits with reference to heater parameters such as power consumption, temperature distribution, response time, and mechanical stability/reliability.
Journal ArticleDOI

A molybdenum MEMS microhotplate for high-temperature operation

TL;DR: In this article, a fabrication process for high-temperature MEMS microhotplates that uses sputtered molybdenum as a conductive material is presented, and the overall performances of the microhotplate are tested, with regard to power consumption, temperature uniformity and dynamic behavior.
Journal ArticleDOI

Microhotplates for metal oxide semiconductor gas sensor applications—towards the CMOS-MEMS monolithic approach

TL;DR: The sensing mechanism, design and operation of these sensors are reviewed, with focuses on the approaches towards performance improvement and CMOS compatibility.
Journal ArticleDOI

Catalysts under Controlled Atmospheres in the Transmission Electron Microscope

TL;DR: In this paper, the authors provide an account of best practice using environmental transmission electron microscopy on catalytic systems illustrated using select examples from the literature showing how in situ microscopy can provide new insight into the state of catalysts.
References
More filters
Journal ArticleDOI

Influence of apparatus geometry and deposition conditions on the structure and topography of thick sputtered coatings

TL;DR: Two cylindrically symmetric and complementary sputtering geometries, the post and hollow cathodes, were used to deposit thick coatings of various metals (Mo, Cr, Ti, Fe, Cu, and Al-alloy) onto glass and metallic substrates at deposition rates of 1000-2000 A/min under various conditions of substrate temperature, argon pressure, and plasma bombardment as mentioned in this paper.
Journal ArticleDOI

Structure and properties of TiN coatings

TL;DR: In this article, the microstructures of thin films of TiN are reviewed and correlated to physical properties such as the electrical resistivity and the hardness of the films, and the origin of the various micro-structures that are obtained is also discussed in terms of the growth conditions used.
Journal ArticleDOI

Micromachined metal oxide gas sensors: opportunities to improve sensor performance

TL;DR: In this article, a review of the design principles and technology involved in the fabrication of micromachined substrates examining thermal and mechanical aspects is presented, and various ways for extracting the information are presented with respect to the improvement of sensor performance brought by this new approach.
Journal ArticleDOI

Microhotplate Platforms for Chemical Sensor Research

TL;DR: The surface-micromachined "microhotplate" structure was originally designed for fabricating conductometric gas microsensor prototypes and is particularly well suited for examining temperature-dependent phenomena on a micro-scale as mentioned in this paper.
Journal ArticleDOI

Properties and microelectronic applications of thin films of refractory metal nitrides

TL;DR: In this article, the preparation of thin films of refractory metal nitrides in microelectronics is discussed and various applications in silicon-integrated circuit technology are described.
Related Papers (5)
Trending Questions (1)
Do ceramic space heaters wear out?

Failure of the TiN heaters is due to rupture of the membrane.