scispace - formally typeset
Open AccessProceedings ArticleDOI

Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs

Reads0
Chats0
TLDR
This work aims at helping designers construct reliable low-power and low-slew 3D clock network by making the right decisions on TSV usage, clock buffer insertion, and clock source placement.
Abstract
In this paper, three effective design techniques are presented to effectively reduce the clock power consumption and slew of the 3D clock distribution network: (1) controlling the bound of through-silicon-vias (TSVs) used in between adjacent dies, (2) controlling the maximum load capacitance of the clock buffer, (3) adjusting the clock source location in the 3D stack. We discuss how these design factors affect the overall wirelength, clock power, slew, skew, and routing congestion in the practical 3D clock network design. SPICE simulation indicates that: (1) a 3D clock tree with multiple TSVs achieves up to 31% power saving, 52% wirelength saving and better slew control as compared with the single-TSV case; (2) by placing the clock source on the middle die in the 3D stack, an additional 7.7% power savings, 9.2% wirelength savings, and 33% TSV savings are obtained compared with the clock source on the topmost die. This work aims at helping designers construct reliable low-power and low-slew 3D clock network by making the right decisions on TSV usage, clock buffer insertion, and clock source placement.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs

TL;DR: A two-step 3D clock tree synthesis method based on the three-dimensional method of means and medians (3D-MMM) algorithm that finds a close-to-optimal design point in the “TSV count versus power consumption” tradeoff curve very efficiently is developed.
Journal ArticleDOI

Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs

TL;DR: The multi-TSV approach significantly reduces the clock power by up to 15.9% for two-die and 29.7% for four-die stacks, and minimizes the overall WL and clock power consumption, and provides both pre-bonding testability and post-bond operability with minimum skew and constrained slew.
Journal ArticleDOI

Clock Tree synthesis for TSV-based 3D IC designs

TL;DR: Through experimentation, it is confirmed that the clock tree synthesis flow using the proposed algorithms is very effective, outperforming the existing 3Dclock tree synthesis in terms of the number of TSVs, total wirelength, and clock power consumption.
Proceedings ArticleDOI

Bounded skew clock routing for 3D stacked IC designs: Enabling trade-offs between power and clock skew

TL;DR: This paper addresses a bounded skew clock routing problem in 3D stacked IC designs to enable effective trade-offs between power and clock skew and proposes an algorithm, called BSTDME-3D (bounded skew clock tree with deffered merge embedding for 3D ICs), to solve this problem.
Proceedings ArticleDOI

Multiobjective optimization of deadspace, a critical resource for 3D-IC integration

TL;DR: A lightweight multiobjective deadspace-optimization methodology that simultaneously optimizes interconnect, IR-drop, clock-tree size and maximal temperature is proposed.
References
More filters
Journal ArticleDOI

Clock distribution networks in synchronous digital integrated circuits

TL;DR: A theoretical background of clock skew is provided and minimum and maximum timing constraints are developed from the relative timing between the localized clock skew and the data paths.
Journal ArticleDOI

Three-dimensional silicon integration

TL;DR: 3D technology from IBM is highlighted, including demonstration test vehicles used to develop ground rules, collect data, and evaluate reliability, and examples of 3D emerging industry product applications that could create marketable systems are provided.
Journal ArticleDOI

A clock distribution network for microprocessors

TL;DR: A global clock distribution strategy implemented on several microprocessor chips is described, which consists of buffered, tunable tree networks, with the final trees all driving a common grid.
Proceedings ArticleDOI

Zero-skew clock routing trees with minimum wirelength

TL;DR: The deferred-merge embedding (DME) algorithm is presented, which embeds any given connection topology into the Manhattan plane to create a clock tree with zero skew while minimizing total wirelength in linear time.
Proceedings ArticleDOI

Through silicon via technology — processes and reliability for wafer-level 3D system integration

TL;DR: The ICV-SLID fabrication process is well suited for the cost-effective production of both, high-performance applications (e.g. 3D microprocessor) and highly miniaturized multi-functional systems as mentioned in this paper.
Related Papers (5)