scispace - formally typeset
Journal ArticleDOI

Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide

Reads0
Chats0
TLDR
In this article, it was shown that the thickness of the fluorocarbon film is not the main parameter controlling the substrate etch rate, but ion-induced defluorination plays a major role in the etching process.
Abstract
The etching of Si, SiO2, Si3N4, and SiCH in fluorocarbon plasmas is accompanied by the formation of a thin steady-state fluorocarbon film at the substrate surface. The thickness of this film and the substrate etch rate have often been related. In the present work, this film has been characterized for a wide range of processing conditions in a high-density plasma reactor. It was found that the thickness of this fluorocarbon film is not necessarily the main parameter controlling the substrate etch rate. When varying the self-bias voltage, for example, we found a weak correlation between the etch rate of the substrate and the fluorocarbon film thickness. Instead, for a wide range of processing conditions, it was found that ion-induced defluorination of the fluorocarbon film plays a major role in the etching process. We therefore suggest that the fluorocarbon film can be an important source of fluorine and is not necessarily an etch-inhibiting film.

read more

Citations
More filters
Journal ArticleDOI

High aspect ratio silicon etch: A review

TL;DR: High aspect ratio (HAR) silicon etch is reviewed in this paper, including commonly used terms, history, main applications, different technological methods, critical challenges, and main theories of the technologies.
Journal ArticleDOI

Plasma etching: Yesterday, today, and tomorrow

TL;DR: The field of plasma etching is reviewed in this paper, where basic principles related to plasma etch such as evaporation rates and Langmuir-Hinshelwood adsorption are introduced.
Journal ArticleDOI

Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication

TL;DR: In this paper, an organic film-based image is produced, which is subsequently transferred by plasma etching techniques into underlying films/substrates to produce nanoscale materials templates.
Journal ArticleDOI

Atomic Layer Etching at the Tipping Point: An Overview

TL;DR: The ability to achieve near-atomic precision in etching different materials when transferring lithographically defined templates is a requirement of increasing importance for nanoscale structure fabrication in the semiconductor and related industries as discussed by the authors.
Journal ArticleDOI

Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma

TL;DR: It was found that although the thickness of the polymer film deposited in each cycle is constant, the etching behavior changed, which is likely related to a change in the plasma gas phase chemistry.
References
More filters
Journal ArticleDOI

Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism

TL;DR: In this paper, the mechanisms underlying selective etching of a SiO2 layer over a Si or Si3N4 underlayer, a process of vital importance to modern integrated circuit fabrication technology, has been studied.
Journal ArticleDOI

Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor

TL;DR: In this article, the role of the steady state fluorocarbon film present on silicon dioxide during the etching of planarized coils has been investigated in the presence of an intermediate region where etching occurs.
Journal ArticleDOI

High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer

TL;DR: In this paper, a model is developed that describes the etch kinetics through a fluorocarbon layer based on a fluorine diffusion transport mechanism, which is consistent with the data when one or two of the fol...
Journal ArticleDOI

Silicon etching mechanisms in a CF4/H2 glow discharge

TL;DR: In this article, the authors studied the effect of fluorocarbon film thickness on the Si etch rate in CF4/H2 reactive ion etching in a symmetric two-neode reactor.
Journal ArticleDOI

Fluorocarbon high‐density plasmas. II. Silicon dioxide and silicon etching using CF4 and CHF3

TL;DR: In this article, the application of CF4 and CHF3 electron cyclotron resonance (ECR) discharges to selective etching of SiO2 over Si was investigated.
Related Papers (5)