scispace - formally typeset
Open AccessJournal ArticleDOI

Routing-based synthesis of digital microfluidic biochips

Reads0
Chats0
TLDR
An algorithm based on a Greedy Randomized Adaptive Search Procedure (GRASP) is developed and it is shown that routing-based synthesis leads to significant improvements in the application completion time compared to traditional synthesis based on virtual devices.
Abstract
Microfluidic biochips are replacing the conventional biochemical analyzers, and are able to integrate on-chip all the necessary functions for biochemical analysis. The "digital" biochips are manipulating liquids as discrete droplets on a two-dimensional array of electrodes. Basic microfluidic operations, such as mixing and dilution, are performed on the array, by routing the corresponding droplets on a series of electrodes. So far, researchers have assumed that these operations are executed on virtual rectangular devices, formed by grouping several adjacent electrodes. One drawback is that all electrodes are considered occupied during the operation execution, although the droplet uses only one electrode at a time. Moreover, the operations can actually be performed by routing the droplets on any sequence of electrodes on the microfluidic array. Hence, in this paper, we eliminate the concept of virtual devices and allow the droplets to move on the chip on any route during operation execution. Thus, the synthesis problem is transformed into a routing problem. We develop an algorithm based on a Greedy Randomized Adaptive Search Procedure (GRASP) and we show that routing-based synthesis leads to significant improvements in the application completion time compared to traditional synthesis based on virtual devices. However, the disadvantage of the routing-based approach is that it may contaminate larger areas of the biochip, when synthesizing applications containing liquids which may adsorb on the surface of the microfluidic array. We have extended the GRASP-based algorithm to consider contamination avoidance during routing-based synthesis. Several real-life examples and synthetic benchmarks are used to evaluate the proposed approaches.

read more

Content maybe subject to copyright    Report

Routing-Based Synthesis of Digital Microfluidic Biochips
Elena Maftei
em@imm.dtu.dk
Paul Pop
pop@imm.dtu.dk
Jan Madsen
jan@imm.dtu.dk
DTU Informatics
Technical University of Denmark
DK-2800 Kgs. Lyngby, Denmark
ABSTRACT
Microfluidic biochips are replacing the conventional biochemical
analyzers, and are able to integrate on-chip all the basic functions
for biochemical analysis. The “digital” microfluidic biochips are
manipulating liquids not as a continuous flow, but as discrete droplets
on a two-dimensional array of electrodes. Basic microfluidic oper-
ations, such as mixing and dilution, are performed on the array, by
routing the corresponding droplets on a series of electrodes. So
far, researchers have assumed that these operations are executed on
rectangular virtual devices, formed by grouping several adjacent
electrodes. One drawback is that all electrodes are considered oc-
cupied during the operation execution, although the droplet uses
only one electrode at a time. Moreover, the operations can actually
execute by routing the droplets on any sequence of electrodes on
the array. Hence, in this paper, we eliminate the concept of virtual
modules and allow the droplets to move on the chip on any route
during operation execution. Thus, the synthesis problem is trans-
formed into a routing problem. We propose an approach derived
from a Greedy Randomized Adaptive Search Procedure (GRASP)
and we show that by considering routing-based synthesis, signifi-
cant improvements can be obtained in the application completion
time.
Categories and Subject Descriptors
B.7.2 [Integrated Circuits]: Design Aids
General Terms
Algorithms, Performance, Design
Keywords
Microfluidics, biochips, synthesis, routing
1. INTRODUCTION
Microfluidic biochips (also referred to as lab-on-a-chip) repre-
sent a promising alternative to conventional biochemical laborato-
ries, and are able to integrate on-chip all the necessary functions for
Permission to make digital or hard copies of all or part of this work for
personal or classroom use is granted without fee provided that copies are
not made or distributed for profit or commercial advantage and that copies
bear this notice and the full citation on the first page. To copy otherwise, to
republish, to post on servers or to redistribute to lists, requires prior specific
permission and/or a fee.
CASES’10, October 24–29, 2010, Scottsdale, Arizona, USA.
Copyright 2010 ACM 978-1-60558-903-9/10/10 ...$10.00.
biochemical analysis using microfluidics, such as, transport, dis-
pensing, mixing, and detection [6].
Biochips oer a number of advantages over conventional bio-
chemical procedures. By handling small amount of fluids, they
provide higher sensitivity while decreasing reagent consumption,
hence reducing cost. Moreover, due to their miniaturization and
automation, they can be used as point-of-care devices, in areas that
lack the infrastructure needed by conventional laboratories [16].
Due to these advantages, biochips are expected to revolutionize
clinical diagnosis, especially immediate point-of-care diagnosis of
diseases. Other emerging application areas include drug discovery,
DNA analysis (e.g., polymerase chain reaction and nucleic acid se-
quence analysis), protein and enzyme analysis and immuno-assays.
There are two generations of microfludic biochips. The first gen-
eration is based on the manipulation of continuous liquid through
fabricated micro-channels, using external pressure sources or inte-
grated mechanical micro-pumps [16]. Although adequate for many
simple biochemical applications, their integrated micro-structures
make continuous-flow biochips unsuitable for more complex appli-
cations, requiring complicated fluid manipulations [2]. The second
generation is based on the manipulation of discrete, individually
controllable droplets on a two-dimensional array of identical cells.
The actuation of droplets is performed without the need of micro-
structures, leading to increased scalability and flexibility compared
with continuous-flow biochips [12]. This generation is also re-
ferred to as “digital microfluidics”, due to the analogy between the
droplets and the bits in a digital system. In this paper, we are inter-
ested in the second generation, droplet-based Digital Microfluidic
Biochips (DMBs).
Researchers have so far considered that the execution of oper-
ations is constrained to a group of adjacent electrodes forming a
rectangular “virtual device”. In this context, DMBs have concep-
tual similarities to dynamically reconfigurable field-programmable
gate arrays (DR-FPGAs). However, in the case of DMBs, devices
are virtual, as operations can be performed on any sequence of elec-
trodes on the microfluidic array. The abstraction of using virtual de-
vices has the advantage that the same synthesis techniques used for
DR-FPGAs can be adapted for the synthesis of DMBs. Hence, re-
searchers have addressed the same problems: allocation of devices
from a module library, binding of devices to operations, scheduling,
placement and routing.
A unified high-level synthesis and module placement methodolo-
gy has been proposed in [14], where the focus has been on deriving
an implementation that can tolerate faulty cells in the biochip array.
Their algorithm was modified in [17] to include droplet-routing-
aware physical design decisions. Yuh et al. [18] have proposed a
synthesis and placement algorithm which uses a tree-based topo-
logical representation and is able to improve on the results from
41

(a) Cell architecture (b) Biochip: array of cells (c) Application graph
Figure 1: Biochip architecture and application model
[14]. In [10] we have proposed an ILP-based architectural-level
synthesis and placement approach for DMBs, which although has
the advantage of producing the optimal solution, is only feasible
for limited problem sizes. In [9] we have proposed a Tabu Search-
based synthesis methodology, where we considered that virtual de-
vices can move (change their placement) during their operation,
and have shown that significant improvements can thus be obtained.
All of the previous work considers that operations are performed
on virtual devices, of rectangular shape, which have a fixed place-
ment on the microfluidic array. One drawback is that all electrodes
are considered occupied during the operation execution, although
the droplet uses only one electrode at a time. Moreover, the opera-
tions can actually execute by routing the droplets on any sequence
of electrodes on the microfluidic array. Hence, in this paper, we
eliminate the concept of virtual modules and allow the droplets to
move on the chip on any route during operation execution. Thus,
the synthesis problem is transformed into a routing problem (see
Fig. 2a and Fig. 2b for a visual explanation of module-based syn-
thesis, respectively routing-based synthesis).
Routing has been addressed so far as a post-synthesis step, fol-
lowing the placement of modules on the array. Several techniques
have been proposed for finding the routes on which droplets move.
A prioritized A* search algorithm is presented in [1], where at each
time the optimal motion plan is performed for the droplet with the
highest priority. In [15], a modified Lee algorithm is proposed for
finding the routes on which droplets are transported, while mini-
mizing the number of used cells. A variant of the Open Shortest
Path First network protocol is presented in [8], while a network-
flow based routing algorithm is proposed in [19]. The results in [19]
are improved in [4], by performing bypassibility analysis while
routing. Thus, at each time, the droplet less likely to block the
movement of the other droplets is chosen to be scheduled.
All these methods consider that routing is performed between
virtual devices whose position on the microfluidic array is fixed and
determined during the placement step, thus the routes have prede-
fined fixed start- and end-points. In addition, the assumption is that
the operation is executed within the virtual device. In our routing-
based synthesis approach we eliminate the concept of virtual de-
vices and perform operations while routing, and thus there are no
fixed start- and end-points for the routes. Also, to guarantee oper-
ation completion, we are not interested in minimizing the routes,
but we have to construct routes of a given length. Therefore, the
existing algorithms are not directly applicable in our context.
In this paper, we propose a routing-based synthesis approach
that, starting from a biochemical application modeled as a sequenc-
ing graph and a given biochip array, determines a complete synthe-
sis of the application on the biochip.
Given a route on which the droplets are routed to complete an
operation, we first devise a method for determining the percentage
of operation completion, considering the length and shape of the
route. Then, we present a Greedy Randomized Adaptive Search
Procedure (GRASP)-derived routing algorithm for establishing the
routes taken by droplets during the execution of operations. We
show that by using our proposed routing-based synthesis, signifi-
cant improvements can be obtained in the application completion
time, allowing us to use smaller area biochips and thus reduce costs.
The paper is organized in six sections. Section 2.1 presents the
architecture of a digital microfluidic biochip. We discuss the char-
acterization of routing-based operation execution in Sections 2.2
and 2.3. The biochemical application model is presented in Sec-
tion 2.4. We formulate the problem in Section 3 and illustrate the
dierence between module-based and routing-based synthesis. The
proposed synthesis approach is presented in Section 4 and evalu-
ated in Section 5. The last section presents our conclusions.
2. SYSTEM MODEL
2.1 Biochip Architecture
In a digital microfluidic biochip the manipulation of liquids is
performed using discrete droplets. There are several mechanisms
for droplet manipulation [6]. Our work considers electrowetting-
on-dielectric (EWD) [12], but can be extended to handle other tech-
niques as well. EWD is the most promising technique, and can
provide high droplet speeds of up to 20 cm/s [12]. A biochip is
composed of several cells, see Fig. 1b. The schematic of a cell is
presented in Fig. 1a. The droplet is sandwiched between two glass
plates (the top plate and the bottom plate), and moves within a filler
fluid. The top plate contains a single ground electrode, while the
bottom plate has several control electrodes. The electrodes are in-
sulated from the droplet through an insulation material. With EWD,
the movement of droplets is controlled by applying voltages to the
required electrodes. For example, turning o the middle control
electrode and turning on the right control electrode in Fig. 1a will
force the droplet to move to the right. For the details on EWD, the
reader is directed to [12].
Several cells are put together to form a two-dimensional array
(an example architecture is presented in Fig. 1b). Using EWD
manipulation, droplets can be moved to any location without the
need for pumps and valves, which are required in a continuous-
42

(a) Module-based operation (b) Routing-based operation (c) Droplet movement
Figure 2: Execution of a mixing operation
flow biochip. Besides the basic cell discussed previously, a chip
typically contains input and output ports and detectors. The de-
tection can be done by using, for example, a light-emitting diode
(LED) beneath the bottom plate and a photodiode on the top plate.
2.2 Module- vs. Routing-Based Operations
Using this architecture, and changing correspondingly the con-
trol voltages, all of the required operations, such as transport, split-
ting, dispensing, mixing, and detection, can be performed. For ex-
ample, mixing is done by bringing two droplets to the same location
and merging them, followed by the transport of the resulted droplet
over a series of electrodes. By moving the droplet, external energy
is introduced, creating complex flow patterns (due to the formation
of multilaminates), thus leading to a faster mixing [11]. Mixing
through diusion, where the resulted droplet remains on the same
electrode, is very slow. The operation can be executed anywhere
on the microfluidic array and is not confined to a certain area, thus
we say that mixing is a “reconfigurable” operation. Another re-
configurable operation is dilution, which consists of a sequence of
mixing and splitting steps. A biochemical application may also
contain “non-reconfigurable” operations, that are executed on real
devices, such as reservoirs or optical detectors.
So far, it has been considered that reconfigurable operations are
performed inside virtual modules, created by grouping adjacent
cells. Such a module is shown in Fig. 2a, where the droplet is
routed circularly on a series of electrodes until the mixing opera-
tion is completed. The movement of the droplet inside the module
is described by the mixing pattern, represented by the arrows inside
the virtual module.
Table 1 presents the results of the experiments performed in [11],
where several mixing times were obtained for various areas, creat-
ing a module library. One problem addressed by the experiments
is flow reversibility, when complex patterns inside the droplet are
unfold into simpler ones when the direction in which the droplet
is transported is changed by 180
. This is the case of linear mixers
(e.g., Fig. 3b), where the motion of the droplet is bidirectional. One
Table 1: Module library
Operation Area (cells) Time (s)
Mixing/Dilution 2 × 4 2.9
Mixing/Dilution 1 × 4 4.6
Mixing/Dilution 2 × 3 6.1
Mixing/Dilution 2 × 2 9.95
Dispensing 2
Detection 1 × 1 30
solution to avoid flow reversibility is to transport the droplet in a cir-
cular motion, as in the 2 × 2 virtual module shown in Fig. 3d. How-
ever, it has been shown that since the droplet is rotating around the
pivot point in the center of the created module, part of the droplet
remains unmixed and thus the operation takes longer (9.95 s) to
complete. In the 2 × 3 module shown in Fig. 3c two additional
electrodes are introduced in order to eliminate the static pivot point
present in the 2 × 2 module, thus reducing the mixing time to 6.1 s.
The mixing time is further improved for the 2 × 4 mixer in Fig. 3a,
leading to a 2.9 s completion time. The experiments show that
faster mixing is obtained by moving the droplet linearly for as long
as possible, reducing thus the flow reversibility.
During module-based operation execution, all cells inside the
module are considered occupied, although the droplet uses only one
cell at a time. Thus, the remaining cells cannot be used for other
operations, which is inecient since it reduces the potential for par-
allelism. In addition, in order to prevent the accidential merging of
a droplet with another droplet in its vicinity, a minimum distance
must be kept between operations executing on the microfluidic ar-
ray. For example, in Fig. 2a these fluidic constraints are enforced
by surrounding the module by a 1-cell segregation area (the hashed
area), containing cells that can not be used by other operations un-
til mixing finishes. For further details on the fluidic constraints the
reader is directed to [19].
An alternative to modules, proposed in this paper, is routing-
based operation execution. As mixing is performed by routing, an
operation can be executed anywhere on the array, unconstrained by
a rectangular shape representing a virtual module. This character-
istic of the mixing operation is shown in Fig. 2b, where the droplet
is routed freely on a sequence of electrodes, according to the shown
route.
2.3 Characterizing Routing-Based Operations
Table 1 gives the operation completion times for modules. For
routing-based operation execution, the completion time depends on
the actual route. In this section, we propose a safe approximation
for the percentage of mixing performed while routing the droplet on
a given route. As there is no mathematical model to characterize
how the percentage of mixing varies depending on the movement
of the droplet, our method provides estimates by decomposing the
devices from Table 1.
Let us consider that while mixing a droplet, it reaches the cell
c
1
at time t in Fig. 2b. We have five possibilities for the next time
moment, t + 1, as shown in Fig. 2c: routing the droplet to the left, to
the right, up, down or keeping the droplet on c
1
. Let us denote with
p
0
the percentage of mixing obtained while routing the droplet on
an electrode in a forward movement (relative to the previous move),
43

(a) 2 × 4 module (b) 1 × 4 module (c) 2 × 3 module (d) 2 × 2 module
Figure 3: Characterization of droplet mixing
with p
90
the percentage obtained from a perpendicular movement
of the droplet and with p
180
the percentage of mixing obtained from
a backward movement, see Fig. 2c.
Considering Table 1, we can estimate the percentage of mixing
over one cell, coresponding to each type of movement (forward,
backward, perpendicular). In order to approximate p
0
, p
90
and
p
180
we decompose the mixing patterns from the module library
in Table 1 in a sequence of forward, backward and perpendicu-
lar motions, as shown in Fig. 3. For example, the 2 × 2 mixer in
Fig. 3d can be decomposed in perpendicular movements, because
after each move the droplet changes its routing direction by 90
. As
shown in Table 1, the operation takes 9.95 s to execute inside the
2 × 2 module, thus we can safely approximate
1
the percentage of
mixing p
90
to 0.1%.
For the 2 × 3 module shown in Fig. 3c, the mixing pattern is
composed of forward and perpendicular movements. By consider-
ing the mixing time shown in Table 1 and p
90
= 0.1%, we obtain
the percentage of mixing resulted from one forward movement p
0
= 0.29%. Note that by decomposing the 2 × 4 module shown in
Fig. 3a, we obtain a dierent value for p
0
: 0.58%. This is because
the forward mixing percentage is not constant, but it depends on
the number of electrodes used. Therefore we consider that there
are two values that estimate the percentage of forward movement:
p
0
1
, when the forward movement is continued only for one cell as in
Fig. 3c, and p
0
2
, when the forward movement of the droplet is of at
least two cells. This is a safe (pessimistic) approximation, since the
value of p
0
will further increase if the droplet continues to move
forward.
Considering the percentage of forward movement p
0
2
in the de-
composition of the 1 × 4 module in Fig. 3b, we obtain the (pes-
simistic) percentage of mixing performed during a backward mo-
tion: p
180
= 0.5%. The negative mixing is explained by the un-
folding of patterns inside the droplet, i.e., the two droplets tend to
separate when moved backward.
Using these percentages, we can determine the operation com-
pletion time for any given route. For example, in Fig. 2b we have
3.19% of the mixing completed in 0.13 s. We assume that before
routing-based synthesis is performed, the set of percentages µ =
{p
0
1
, p
0
2
, p
90
, p
180
} is determined through experiments such as the
ones in [11] which have produced Table 1. The method presented
in this subsection can be applied to any such experimental data.
2.4 Biochip Application Model
We model a biochemical application using an abstract model
consisting of a sequencing graph [3]. The graph G(V, E) is di-
rected, acyclic and polar (i.e., there is a source node, which is a
node that has no predecessors and a sink node that has no suc-
cessors). Each node O
i
Vrepresents one operation. For non-
reconfigurable devices (e.g., dispensing, detection), the binding of
operations to modules is captured by the function B : VA,
1
In this paper we consider the data from [12], where the time re-
quired to route the droplet one cell is 0.01 s.
where A is the list of allocated modules from the given library L.
Each reconfigurable operation O
i
(e.g., mixing, dilution) is allo-
cated and bound to route R
i
Ron the array C.
An edge e
i, j
Efrom O
i
to O
j
indicates that the output of oper-
ation O
i
is the input of O
j
. An operation can be activated after all
its inputs have arrived and it issues its outputs when it terminates.
We assume that, for each operation O
i
, we know the execution time
C
i
on the non-reconfigurable module M
k
= B(O
i
) or route R(O
i
),
where it is assigned for execution. In Fig. 1c we have an example
of an application graph with thirteen operations, O
1
to O
13
. The ap-
plication consists of four mixing operations (O
7
, O
8
, O
10
and O
12
),
one diluting operation (O
9
), six input operations (O
1
, O
2
, O
3
, O
4
,
O
5
, O
6
and O
11
) and one output operation (O
13
).
O
9
is a dilution operation during which two unit droplets of dif-
ferent concentrations are mixed, resulting in a droplet of interme-
diate concentration having twice the unit volume. The mixing is
followed by a split operation, during which two droplets of unit
volume and intermediate concentration are obtained. Considering
Fig. 1a, a droplet is split by turning on the left and right electrodes
and turning o the middle electrode [13]. The result of the dilu-
tion operation O
9
is two droplets of intermediate concentration, one
used as an input for the mixing operation O
12
(denoted by e
9,12
), and
the other one, corresponding to O
13
, discarded to the output reser-
voir. We assume that the biochemical application has been cor-
rectly designed, such that all the operations will have the required
input droplet volumes.
3. PROBLEM FORMULATION
The problem we are addressing in this paper can be formulated
as follows. Given (1) a biochemical application modeled as a graph
G(V, E); (2) a biochip consisting of a two-dimensional m × n array
C of cells ; and (3) a library L characterizing the completion time of
the operations, we are interested to synthesize that implementation
Ψ, which minimizes the completion time of the application δ
G
. Let
us first illustrate the module-based synthesis, followed by our pro-
posed routing-based synthesis approach, presented in Section 3.2.
3.1 Module-Based Synthesis
The module-based synthesis problem consists in determining an
implementation Ψ=< A, B, S, P, R >, which means deciding on:
(1) the allocation A, which determines what modules from the li-
brary L should be used; (2) the binding B of each operation O
i
V
to a module M
k
A; (3) the schedule S of the operations, which
contains the start time t
start
i
of each operation O
i
on its correspond-
ing module; (4) the placement P containing the locations at which
operations will be executed on the m × n array; and (5) the routes R
taken by the droplets between modules and between modules and
input/output ports.
Let us consider the graph shown in Fig. 1c. We would like to
implement the operations on the 7 × 7 biochip from Fig. 1b. We
consider the current moment of time as being t = 0. For simplicity,
in this example, we consider that the input operations are already
44

(a) Schedule (b) Placement for t [0.04, 4.64] (c) t (4.68, 7.58] (d) t (7.60, 10.50]
Figure 4: Module-based synthesis example
assigned to the corresponding input ports. Thus, O
1
is assigned
to the input port S
1
, O
2
to R
1
, O
3
to S
2
, O
4
to R
2
, O
5
to S
3
, O
6
to B and O
11
to R
1
. For the other operations in Fig. 1c, the mixing
operations (O
7
, O
8
, O
10
and O
12
) and the dilution operation (O
9
) the
module-based synthesis will have to allocate the appropriate virtual
modules, bind operations to them and perform the placement and
scheduling.
Let us assume that the available module library is the one cap-
tured by Table 1. We have to select modules from the library while
trying to minimize the application completion time and place them
on the 7 × 7 chip. A solution to the problem is presented in Fig. 4,
where the following modules are used: three 1×4 mixers (Mixer
1
,
Mixer
2
, Mixer
3
), one 2×4 mixer (Mixer
4
) and one 2×4 diluter
(Diluter
1
).
Considering this allocation, Fig. 4a presents the binding of op-
eration to modules and the optimal schedule. The schedule is de-
picted as a Gantt chart, where, for each module, we represent the
operations as rectangles with their length corresponding to the du-
ration of that operation on the module. The routing times needed
for merging the inputs of the operations are represented as hashed
rectangles in the schedule. For example, operation O
12
is bound to
module Mixer
4
, starts after the dilution operation O
9
is completed
(t
f inish
9
= 7.58) and after its inputs, e
9,12
and O
11
, are merged on
the microfluidic array, thus t
start
12
= 7.60. The operation takes 2.9 s,
finishing at time t
f inish
12
= 10.50 s.
The placement for the solution is as indicated in Fig. 4b–d. Note
that only two virtual devices can be placed on the biochip due to
space constraints, thus only two operations can execute in parallel.
In our case O
7
, O
8
and O
9
could potentially be executed in parallel.
If we decide to select smaller areas to increase parallelism, such as
a2× 2, the execution time is much larger, e.g., 9.95 s for a 2 × 2,
which eliminates the potential gain obtained through parallelism.
3.2 Routing-Based Synthesis
Let us consider the same synthesis problem for DMBs in the case
when we remove the concept of “virtual device” (also called “mod-
ule”) and allow operations to execute by routing the droplets on any
sequence of electrodes on the array. Similar to the problem formu-
lation for module-based synthesis, we want to synthesize an imple-
mentation Ψ=< A, B, S, P, R >, deciding the allocation, binding,
scheduling, placement and routing. However, there are dierences
when performing routing-based synthesis. The allocation, binding
and placement need to be performed only for non-reconfigurable
operations, such as input and detection operations. For reconfig-
urable operations, such as mixing and dilution, the synthesis is de-
termined by the routes R. For each reconfigurable operation O
i
we have to determine a time-ordered list containing electrodes on
which O
i
is executed (i.e., a route). Thus, for reconfigurable opera-
tions, the synthesis problem is transformed into a routing problem.
Let us consider the same example presented in Section 3.1. Fig. 5
shows the synthesis of the application on the 7 × 7 array. The
allocation and binding of physical modules to non-reconfigurable
operations is the same as the one presented in 3.1. We consider the
characterization of droplet mixing as discussed in Section 2.3. We
have to find the routes R for all the reconfigurable operations such
that the application completion time δ
G
is minimized.
Fig. 5 shows a complete solution for synthetising the application
G in Fig. 1c to the 7 × 7 chip. Before the reconfigurable operations
O
7
, O
8
and O
9
can start, we route their inputs to the locations de-
picted in Fig. 5b. In order to simplify the visual representation of
the solution, we assume a repetitive route for the operations: the
droplets corresponding to O
7
, O
8
and O
9
in Fig. 5c are repeatedly
routed on the shown paths 13.58 times, until the mixing is com-
pleted.
After completion, the droplets resulted from the mixing oper-
ations O
7
and O
8
are routed to a common location on the chip,
where they merge, forming the droplet corresponding to operation
O
10
(Fig. 5d). The dilution operation O
9
continues by splitting the
mixed droplet into two droplets of intermediate concentration and
equal volume, corresponding to e
9,12
and the output operation O
13
.
Because of simplicity reasons, in this example, the paths on which
the droplets are routed while operations are executed are of rectan-
gular shape. However, in routing-based synthesis any sequence of
electrodes can be used as a path, as shown in Fig. 2b.
The schedule of the operations is presented in Fig. 5a, where we
notice that the completion time of the application is significantly re-
duced compared to the module-based schedule presented in Fig. 4a,
4.34 s compared to 10.50 s.
There are several reasons for this reduction. Compared to the
solution in Fig. 4, operation O
9
can be executed in parallel with
O
7
and O
8
in Fig. 5c. Routing-based synthesis leads to an increase
in paralellism due to a more ecient use of the microfluidic ar-
ray. In module-based synthesis the entire module area, including
the segregation borders, is considered occupied by the operation.
In routing-based operation execution we know the actual position
of the droplets, therefore all the other cells can be used, as long
as the droplets are not too close to each other (i.e., the microflu-
idic constraints from [19] are enforced). For example, in Fig. 5d
the droplet corresponding to O
7
must be kept on the initial position
shown from time 2.20 s until time 2.23 s, in order to prevent the ac-
cidential merging with the droplet discarded to the output reservoir
(corresponding to the operation O
13
).
45

Citations
More filters
Journal ArticleDOI

An open-source compiler and PCB synthesis tool for digital microfluidic biochips

TL;DR: A compiler converts an assay, specified using the BioCoder language, into a sequence of electrode activations that execute out the assay on the DMFB; and a printed circuit board layout tool, which includes algorithms to reduce the number of control pins and PCB layers required to drive the chip from an external source.
Journal ArticleDOI

Micro-Electrode-Dot-Array Digital Microfluidic Biochips: Technology, Design Automation, and Test Techniques

TL;DR: Recent design tools for high-level synthesis and optimization of map bioassay protocols on a MEDA biochip are described, with the help of these tools, biochip users can concentrate on the development of nanoscale bioassays, leaving details of chip optimization and implementation to software tools.
Journal ArticleDOI

Redundancy optimization for error recovery in digital microfluidic biochips

TL;DR: An online recovery strategy, which decides during the execution of the biochemical application the introduction of the redundancy required for fault-tolerance, and a redundancy optimization approach, which has been evaluated using several benchmarks.
Journal ArticleDOI

Module-Based Synthesis of Digital Microfluidic Biochips with Droplet-Aware Operation Execution

TL;DR: This article proposes a Tabu Search-based metaheuristic for the synthesis of digital biochips with droplet-aware operation execution of microfluidic operations, which means that the exact position of droplets inside the modules at each time-step is known.
Journal ArticleDOI

Performance Improvements and Congestion Reduction for Routing-Based Synthesis for Digital Microfluidic Biochips

TL;DR: It is shown that routing-based synthesis can lead to deadlocks and livelocks in specific cases, and that dynamically detecting them and adjusting the probabilities associated with different droplet movements can alleviate the situation.
References
More filters
Journal ArticleDOI

Greedy Randomized Adaptive Search Procedures

TL;DR: This paper defines the various components comprising a GRASP and demonstrates, step by step, how to develop such heuristics for combinatorial optimization problems.
PatentDOI

Microfluidic large scale integration

TL;DR: The fluidic multiplexor as discussed by the authors is a combinatorial array of binary valve patterns that exponentially increases the processing power of a network by allowing complex fluid manipulations with a minimal number of inputs.
Journal ArticleDOI

Digital microfluidics: is a true lab-on-a-chip possible?

TL;DR: To understand the opportunities and limitations of EWD microfluidics, this paper looks at the development of lab-on-chip applications in a hierarchical approach.
Journal ArticleDOI

Electrowetting-based actuation of droplets for integrated microfluidics

TL;DR: In this paper, an alternative approach to microfluidics based upon the micromanipulation of discrete droplets of aqueous electrolyte by electrowetting is reported.

Microfluidic Large Scale Integration

TL;DR: High-density microfluidic chips that contain plumbing networks with thousands of micromechanical valves and hundreds of individually addressable chambers are developed to construct the microfluidity analog of a comparator array and a microfluidsic memory storage device whose behavior resembles random-access memory.
Related Papers (5)
Frequently Asked Questions (13)
Q1. What contributions have the authors mentioned in the paper "Routing-based synthesis of digital microfluidic biochips" ?

Hence, in this paper, the authors eliminate the concept of virtual modules and allow the droplets to move on the chip on any route during operation execution. The authors propose an approach derived from a Greedy Randomized Adaptive Search Procedure ( GRASP ) and they show that by considering routing-based synthesis, significant improvements can be obtained in the application completion time. 

Using routing-based synthesis is particularly important for more constrained synthesis problems, when knowing the exact location of all droplets on the array, leads to more efficient space usage. 

In addition, in order to prevent the accidential merging of a droplet with another droplet in its vicinity, a minimum distance must be kept between operations executing on the microfluidic array. 

Two real life examples and ten synthetic applications have been used for evaluating the effectiveness of the proposed algorithm, compared to module-based synthesis. 

The authors show that by using their proposed routing-based synthesis, significant improvements can be obtained in the application completion time, allowing us to use smaller area biochips and thus reduce costs. 

Onesolution to avoid flow reversibility is to transport the droplet in a circular motion, as in the 2 × 2 virtual module shown in Fig. 3d. 

Because of simplicity reasons, in this example, the paths on which the droplets are routed while operations are executed are of rectangular shape. 

For nonreconfigurable devices (e.g., dispensing, detection), the binding of operations to modules is captured by the function B : V → A,1In this paper the authors consider the data from [12], where the time required to route the droplet one cell is 0.01 s.where A is the list of allocated modules from the given library L. Each reconfigurable operation Oi (e.g., mixing, dilution) is allocated and bound to route Ri ∈ R on the array C.An edge ei, j ∈ E from Oi to Oj indicates that the output of operation Oi is the input of Oj. 

For a droplet in the merge state, the quality of a move is determined by the distance between the two droplets that need to be merged, measured by the Manhattan distance. 

The negative mixing is explained by the unfolding of patterns inside the droplet, i.e., the two droplets tend to separate when moved backward. 

Because of the constraint on the number of available reservoirs on a given chip, creating a dispensed droplet at tcurrent is not always possible. 

if a droplet corresponding to an input operation is needed on the microfluidic array at tcurrent, the authors schedule the dispensing of the droplet such that it finishes at time tcurrent, and not earlier. 

The feasible directions in which the droplet can be routed are to the left, up or maintaining the droplet on the current position.