scispace - formally typeset
Search or ask a question

Showing papers on "Silicon dioxide published in 1994"


Journal ArticleDOI
TL;DR: In this article, the vertical thermal conductivities of thermally grown (TG) and chemical vapor deposited (CVD) silicon dioxide layers 20 to 200 nm thick were measured using a simple, noncontact photothermal technique.
Abstract: The vertical thermal conductivities of thermally grown (TG) and chemical vapor deposited (CVD) silicon‐dioxide layers 20 to 200 nm thick are measured using a simple, noncontact photothermal technique. The conductivities of TG and CVD layers are less by as much as 18% and 30%, respectively, than the conductivity of bulk fused silicon dioxide. No significant thickness dependence is observed. The thermal boundary resistance between the oxide layers and silicon is shown to be negligibly small. The boundary resistance of gold layers sputtered directly onto TG oxide is considerably larger than that of gold layers evaporated on TG oxide with a 20‐nm chromium adhesion layer, and is comparable to internal resistances of the oxide layers.

180 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that green/blue luminescence which is found in oxidized nanocrystalline and porous silicon is associated with the presence of OH groups adsorbed on structural defects in the SiO2 network.
Abstract: It is shown that the green/blue luminescence which is found in oxidized nanocrystalline and ‘‘porous’’ silicon is associated with the presence of OH groups adsorbed on structural defects in the SiO2 network

159 citations


Journal ArticleDOI
TL;DR: In this paper, the size and size distributions of the Cu clusters were measured by transmission electron microscopy, and were found to be determined by the ion-beam current during implantation.
Abstract: Cu clusters of nanometer dimensions were created by implantation of Cu ions into pure fused silica substrates at energies of 160 keV. The sizes and size distributions of the Cu clusters were measured by transmission electron microscopy, and were found to be determined by the ion‐beam current during implantation. Optical‐absorption spectra of these materials show the size‐dependent surface plasmon resonance characteristic of noble‐metal clusters. There are also significant size‐dependent effects in both the nonlinear index of refraction and two‐photon absorption coefficients. The distinctive variations in linear and nonlinear optical properties with Cu nanocluster sizes and size distributions affords potentially interesting possibilities for using these materials in nonlinear optical devices.

150 citations


Journal ArticleDOI
TL;DR: Small additions of phosphate-based glasses proved to be beneficial to the sintering process and fully dense materials were obtained and a significant improvement in mechanical properties was achieved.

113 citations


Journal Article
TL;DR: In this paper, the application of CF4 and CHF3 electron cyclotron resonance (ECR) discharges to selective etching of SiO2 over Si was investigated.
Abstract: We report a study of the application of CF4 and CHF3 electron cyclotron resonance (ECR) discharges to selective etching of SiO2 over Si. Due to significant fluorocarbon film deposition for plasma operation without rf sample bias in the pressure range below 10 mTorr, rf biasing is required for etching of SiO2 and Si. The rf threshold voltage for etching is 55 V for CHF3 and 35 V for CF4 at a pressure of 1 mTorr. At 100 V rf bias, silicon dioxide etch rates were greater than ≂600 nm/min in CF4 and 450 nm/min for 1000 W plasmas at 1 mTorr pressure. A plot of the oxide etch rate vs rf bias exhibits a fluorocarbon film suppression regime at low rf voltages and an oxide sputtering regime at higher rf voltages. In the fluorocarbon suppression regime, the etch rate is primarily determined by fluorocarbon deposition which results in a thin fluorocarbon film being present on the SiO2 surface during steady‐state etching. In the oxide sputtering regime, the oxide etch rate increases linearly with the ion current to t...

113 citations


Patent
09 Dec 1994
TL;DR: In this article, a method of obtaining high quality passivation layers on silicon carbide surfaces by oxidizing a sacrificial layer of a silicon-containing material on the silicon-carbide portion of a device structure was proposed, which is substantially free of dopants that would degrade the electrical integrity of the oxide layer.
Abstract: A method of obtaining high quality passivation layers on silicon carbide surfaces by oxidizing a sacrificial layer of a silicon-containing material on a silicon carbide portion of a device structure to substantially consume the sacrificial layer to produce an oxide passivation layer on the silicon carbide portion that is substantially free of dopants that would otherwise degrade the electrical integrity of the oxide layer.

104 citations


Journal ArticleDOI
TL;DR: In this article, thin electrically insulating films of titanium oxide on titanium have been investigated as adhesion layers between gold and a wide variety of insulators, showing that sputter-deposited titanium films grow by an island growth (Volmer-Weber) mechanism.

88 citations


Journal ArticleDOI
TL;DR: It is demonstrated that crystalline silica binds to the phosphate-sugar backbone of DNA, and DNA remains in a B-form conformation in the DNA-silica complex.
Abstract: The interaction of DNA with crystalline silica in buffered aqueous solutions at physiologic pH has been investigated by Fourier-transform infrared spectroscopy (FT-IR). In aqueous buffer, significant changes occur in the spectra of DNA and silica upon coincubation, suggesting that a DNA-silica complex forms as silica interacts with DNA. As compared to the spectrum of silica alone, the changes in the FT-IR spectrum of silica in the DNA-silica complex are consistent with an Si-O bond perturbation on the surface of the silica crystal. DNA remains in a B-form conformation in the DNA-silica complex. The most prominent changes in the DNA spectrum occur in the 1225 to 1000 cm-1 region. Upon binding, the PO2- asymmetric stretch at 1225 cm-1 is increased in intensity and slightly shifted to lower frequencies; the PO2- symmetric stretch at 1086 cm-1 is markedly increased in intensity and the band at 1053 cm-1, representing either the phosphodiester or the C-O stretch of DNA backbone, is significantly reduced in intensity. In D2O buffer, the DNA spectrum reveals a marked increase in intensity of the peak at 1086 cm-1 and a progressive decrease in intensity of the peak at 1053 cm-1 when DNA is exposed to increasing concentrations of silica. The carbonyl band at 1688 cm-1 diminishes and shifts to slightly lower frequencies with increasing concentrations of silica. The present study demonstrates that crystalline silica binds to the phosphate-sugar backbone of DNA.(ABSTRACT TRUNCATED AT 250 WORDS)

84 citations


Journal ArticleDOI
TL;DR: In this paper, remote hydrogen plasma exposure is used to study the transport of atomic hydrogen, H0, through reoxidizednitrided oxides and SiO2 and to quantify H0induced degradation of their interfaces with silicon.
Abstract: Remote hydrogen plasma exposure is used to study the transport of atomic hydrogen, H0, through reoxidized‐nitrided oxides and SiO2 and to quantify H0‐induced degradation of their interfaces with silicon. It is directly demonstrated that (1) H0 is extremely reactive and produces large numbers of interface states; (2) the transport of H0 to the silicon/oxide interface is strongly suppressed in reoxidized‐nitrided oxides; and (3) this suppression of the H0 transport is mainly responsible for the much slower interface degradation of reoxidized‐nitrided oxides during high‐field, hot‐electron stress as compared to thermal oxide.

80 citations


Patent
07 Oct 1994
TL;DR: In this article, a hydrogen halide plasma is created within an etch chamber and the negative charge at the bottom of the chamber attracts the positively charged plasma, thereby etching the substrate in the downward direction.
Abstract: Silicon dioxide on a substrate is directionally etched using a hydrogen halide plasma which is created within an etch chamber. The method selectively etches silicon dioxide relative to polysilicon and silicon nitride. A substrate (18) and the combination of NH₃ and NF₃ gases or the combination of CF₄ and O₂ gases mixed with H₂ and N₂ gases are located within an etch chamber (16). A power source (26) is used to create an electrical field within the etch chamber causing the gas mixture (14) to form a plasma. The negative charge at the bottom of the chamber attracts the positively charged plasma, thereby etching the substrate in the downward direction. The result is an anisotropic product. The method is also shown to be effective in non-selectively etching thermal and deposited oxides, resulting in a similar etch rate for the different types of oxides.

73 citations


Journal ArticleDOI
TL;DR: Tetrandrine, an herbal medicine that exhibits antifibrotic activity in rat models of silicosis, effectively blocks the ability of quartz to stimulate oxidant release from pulmonary phagocytes, which results in silica-induced activation results in the production of superoxide, hydrogen peroxide, nitric oxide, and other oxidant species that can damage lung cells.
Abstract: Exposure to crystalline silica can result in damage to the lung parenchyma and scarring that can lead to fibrosis. Pulmonary damage may be the direct consequence of toxic interaction between quartz particles and cell membranes, or it may be due to silica-induced production of oxidant species by pulmonary phagocytes, that in turn overwhelms pulmonary antioxidant systems and causes lung injury. Data indicate that grinding or fracturing quartz particles breaks Si-O bonds and generates .Si and Si-O. radicals on the surface of the cleavage planes. Upon contact with water, these silica-based radicals can generate hydroxyl radicals (.OH). These surface radicals decay as fractured silica dust is aged. Freshly fractured quartz is significantly more potent than aged silica in directly causing lipid peroxidation, membrane damage, and cell death. Furthermore, freshly ground silica is a more potent stimulant of alveolar macrophages than aged silica. This silica-induced activation results in the production of superoxide (O2-), hydrogen peroxide (H2O2), nitric oxide (NO.), and other oxidant species that can damage lung cells. Tetrandrine, an herbal medicine that exhibits antifibrotic activity in rat models of silicosis, effectively blocks the ability of quartz to stimulate oxidant release from pulmonary phagocytes.

Patent
02 Nov 1994
TL;DR: In this paper, a silicon dioxide film is formed on a silicon substrate and then hemispherical grains made of silicon, each having an extremely small diameter, are deposited thereon by LPCVD.
Abstract: On a silicon substrate is formed a silicon dioxide film and then hemispherical grains made of silicon, each having an extremely small diameter, are deposited thereon by LPCVD. After annealing the hemispherical grains, the silicon dioxide film is etched using the hemispherical grains as a first dotted mask, thereby forming a second dotted mask composed of the silicon dioxide film. The resulting second dotted mask is used to etch the silicon substrate to a specified depth from the surface thereof, thereby forming an aggregate of semiconductor micro-needles. Since the diameter of each of the semiconductor micro-needles is sufficiently small to cause the quantum size effects as well as has only small size variations, remarkable quantum size effects can be obtained. Therefore, it becomes possible to constitute a semiconductor apparatus with a high information-processing function by using the aggregate of semiconductor micro-needles (quantized region).

Patent
21 Nov 1994
TL;DR: A reflective biograting consists of an optically flat layer of a transparent composition such as silicon dioxide having a first and second surface, alternating zones of active and inactive binding reagent on the first surface, and a reflective metal layer having a thickness of at least above 1000 Å.
Abstract: A reflective biograting consists of an optically flat layer of a transparent composition such as silicon dioxide having a first and second surface, alternating zones of active and inactive binding reagent on the first surface, and a reflective metal layer having a thickness of at least above 1000 Å. The reflective metal layer can be supported on an optically flat surface of a wafer, and the reflective metal can be aluminum, silver, gold, chromium, nickel, titanium or platinum coating on a polished wafer. Preferably, the silicon dioxide layer is formed either by direct sputtering of silicon dioxide or by coating an alkali metal silicate solution on the surface of the reflective metal, optionally containing an aminoalkylsilane and a water-soluble hydroxylated polymer such as a dextran. Alternatively, the reflective support comprises one or more reflective layer units, each reflective layer unit comprising an optically flat layer of silicon, and preferably polysilicon, on a layer of silicon dioxide. Each layer of silicon has a thickness within the range of from 150 to 750 Å, from 850 to 1300 Å, or from 1700 to 2150 Å, and preferably within the range of from 200 to 600 Å. Each layer of silicon dioxide has a thickness within the range of from 800 to 1200 Å. The reflective support is supported on the substantially flat surface of an insoluble support.

Journal ArticleDOI
TL;DR: In this paper, the numerical modeling of the oxidation of silicon cylinders was analyzed from a nonlinear viscoelastic approach, and its mechanical and stress dependent parameters were determined for silicon dioxide and nitride.
Abstract: The numerical modeling of the oxidation of silicon is analyzed from a nonlinear viscoelastic approach. Its mechanical and stress dependent parameters are determined for silicon dioxide and nitride. The study focuses on the rheological behavior of the materials. The two dimensional simulations of silicon cylinders oxidation and local oxidation of silicon processing reveal that at 1000 °C, a nonlinear viscous modeling is equivalent to the nonlinear viscoelastic one. But, for lower temperatures, the discrepancies between these two models, observed in the stress calculation and final oxide shape, demonstrate the necessity for a complete nonlinear viscoelastic formulation. Finally, the calibrated model is used to study the growth of a recessed isolation structure. The investigations quantify the influence of geometrical parameters of the silicon groove on the shape of the final isolation oxide (e.g., parameters such as the silicon overetch under the pad oxide, the depth of silicon etching, the slope of the silicon sidewall and the silicon concave corner rounding).

Patent
Hidemi Takasu1
28 Jan 1994
TL;DR: In this article, a semiconductor device is manufactured by forming an epitaxial layer (22) insulated from a silicon substrate (2), and forming a device in the epitaxially grown layer(22).
Abstract: A semiconductor device is manufactured by forming an epitaxial layer (22) insulated from a silicon substrate (2), and forming a device in the epitaxial layer (22). On the semiconductor substrate (2), a silicon dioxide layer (4) is formed (FIG. 2A). Then the silicon dioxide layer (4) is provided with openings (14) (FIG. 2D). Silicon carbide is grown until it protrudes from the openings (14) to thereby form a silicon carbide seed crystal layer (16) (FIG. 2E). Next, oxidation is carried out, allowing a field oxide layer (20) to be connected at the portion under the openings (14) and the silicon carbide seed crystal layer (16) to be insulated from the silicon substrate (2). Thereafter, epitaxial growth is effected from the silicon carbide seed crystal layer (16). The growth is stopped before silicon grown layers (22) connect to one another, thus obtaining epitaxially grown layers (22) having regions which are separate from one another. The MOS device is formed in this epitaxially grown layer (22). The silicon carbide grown layer (22) is isolated from the silicon substrate (2) and formed as regions isolated from one another, having a uniform plane bearing. Accordingly, the layer (22) causes no electrostatic capacitance due to the absence of a pn junction with the silicon substrate (2) or with an adjacent layer (22), allowing high-speed operation of the device. Moreover, the unique plane bearing facilitates control during the manufacturing process.

Patent
08 Sep 1994
TL;DR: In this paper, a process for the preparation of magnetic polymeric silicon dioxide compounds in the form of silica gel, silica sol, precipitated or pyrogenic silicon dioxide, by incorporation of magnetic materials into their matrix.
Abstract: Process for the preparation of magnetic polymeric silicon dioxide compounds in the form of silica gel, silica sol, precipitated or pyrogenic silicon dioxide, by incorporation of magnetic materials into their matrix. The many possible applications in the sectors of process technology, chemical technology, analysis, biotechnology and pharmacy result from the possibility of influencing such compounds magnetically.

Journal ArticleDOI
TL;DR: It is proposed that DNA binding to crystalline silica surfaces may be important in silica carcinogenesis by anchoring DNA close to sites of oxygen radical production on the silica surface, so that the oxygen radicals are produced within a few A from their target DNA nucleotides.
Abstract: The carcinogenic effects of crystalline silica in rat lungs were extensively demonstrated by many experimental long-term studies, showing a marked predominance for adenocarcinomas originating from alveolar type II cells and associated with areas of pulmonary fibrosis (silicosis). In contrast with its effects in rats, silica did not induce alveolar type II hyperplasia and lung tumors in mice and hamsters, pointing to a critical role for host factors. Using these animal models, we are investigating the role of cytokines and other cellular mediators on the proliferation of alveolar type II cells. Immunohistochemical localization of TGF-beta 1 precursor in alveolar type II cells adjacent to silicotic granulomas was shown to occur in rats, but not in mice, and hamsters, suggesting a pathogenetic role for this regulatory growth factor. Recent investigations in our laboratory on the biologic mechanisms of crystalline silica included determination of anionic sites on crystalline silica surfaces by binding of the cationic dye Janus Green B; binding of crystalline silica to DNA, demonstrated by infrared spectrometry; production of oxygen radicals by crystalline silica in aqueous media; induction of DNA strand breakage and base oxidation in vitro and its potentiation by superoxide dismutase and by hydrogen peroxide; and induction by crystalline silica of neoplastic transformation and chromosomal damage in cells in culture. On the basis of these in vitro studies, we propose that DNA binding to crystalline silica surfaces may be important in silica carcinogenesis by anchoring DNA close to sites of oxygen radical production on the silica surface, so that the oxygen radicals are produced within a few A from their target DNA nucleotides.

Journal ArticleDOI
TL;DR: In this paper, a liquid phase deposition (LPD) method with H20 addition was proposed to solve the problems of thermal stress, dopant redistribution, and material interaction in microelectronics.
Abstract: In microelectronics, silicon dioxide (SiO2) is widely used as an insulator including the gate dielectric, isolation, and passivation layers. Conventional methods of preparing SiO2 films, such as thermal oxidation or CVD techniques, are subject to several problems, such as thermal stress, dopant redistribution, and material interaction. To solve these problems, we suggest replacing conventional techniques with a room-temperature method that grows an SiO2 film with similar quality. Liquid phase deposition (LPD) is a room-temperature method for SiO2 formation. To date, LPD-oxide films have been obtained by adding either H3BO31-5 or A16 to hydrofluosilicic acid (HzSiF~) solution saturated with silica. In this approach, however, owing to the number of additional parameters, the deposition reaction in LPD is complicated. Moreover, this method does not consider the contamination from the chemicals, but rather merely assumes that such contamination is minimal, the LPD method with H20 addition was first attempted by Yoshitomi et al. ~ According to Ref. 5, the chemical reaction for SiO2 growth in the treatment solution can be represented by the following equilibrium reaction Silicon oxide formation was studied by a novel liquid phase deposition (LPD) method with H20 addition only at 35~ The deposition rate could be controlled by varying the quantity of H20 added. The LPD-oxide was lightly oxygen-deficient. FTIR spectra and AES depth profiles indicate that a small amount of fluorine was incorporated into the oxide. The composition of LPD-oxide can be represented as SiO2-x F=. The physicochemical properties of LPD oxide were investigated, as was the behavior of fluorine in the oxide and the chemical reaction. A model for the LPD mechanism is proposed that satisfactorily explains all of the experimental phenomena observed.

Journal ArticleDOI
TL;DR: In this paper, an improved process for liquid phase deposition of silicon dioxide (SiO2) at 50°C is successfully developed, where water is now taking the role of boric acid (or Al) to reduce the concentration of HF in the solution and leads to deposition of oxide.
Abstract: An improved process for liquid phase deposition of silicon dioxide (SiO2) at 50 °C is successfully developed. Contrary to conventional processes, silicic acid (SiO2:xH2O) is used instead of SiO2 powder to saturate hydrofluorosilicic acid at 30 °C. This will shorten the period required for solution preparation to 3 h. Water is now taking the role of boric acid (or Al) to reduce the concentration of HF in the solution and leads to deposition of oxide. The corresponding growth rate of SiO2 is about 50 nm per hour, larger than that reported in literature with boric acid concentration of 0.010 M. When this oxide is used to fabricate the metal‐oxide‐semiconductor (MOS) capacitor, the flatband voltage turns out to be 0.277 V and the achieved oxide breakdown field strength is 9 MV/cm.

Patent
17 Oct 1994
TL;DR: In this paper, the main component of a film comprising silicon dioxide was described and a method for forming it by reactive DC sputtering was proposed. But this method was not suitable for the case of multi-layered films.
Abstract: The present invention relates to a film comprising silicon dioxide as the main component, which contains Zr, etc., and a method for forming it by reactive DC sputtering. It makes it possible to form reflection preventive films, alkali barrier films and various multi-layered films such as multi-layered films for anti-iridescent glass, which contain said film comprising silicon dioxide as the main component, by a physical vapor deposition method without breaking a vacuum.

Patent
31 Mar 1994
TL;DR: In this article, a direct method for preparing solid solutions of C60 in silicon dioxide (SiO2) glass matrices by means of sol-gel chemistry is described, which can increase the solubility of these "guests" in a delivery solvent which is compatible with the starter sol (receiving solvent).
Abstract: Synthesis of fullerene/glass composites. A direct method for preparing solid solutions of C60 in silicon dioxide (SiO2) glass matrices by means of sol-gel chemistry is described. In order to produce highly concentrated fullerene-sol-gel-composites it is necessary to increase the solubility of these "guests" in a delivery solvent which is compatible with the starter sol (receiving solvent). Sonication results in aggregate disruption by treatment with high frequency sound waves, thereby accelerating the rate of hydrolysis of the alkoxide precursor, and the solution process for the C60. Depending upon the preparative procedure, C60 dispersed within the glass matrix as microcrystalline domains, or dispersed as true molecular solutions of C60 in a solid glass matrix, is generated by the present method.

Patent
07 Sep 1994
TL;DR: A silicon nitride-based powder composition that yields sintered bodies having a density of at least 3.15g/cm3 by pressureless sintering was proposed in this paper.
Abstract: A silicon nitride-based powder composition that yields sintered bodies having a density of at least 3.15g/cm3 by pressureless sintering. The composition includes silicon nitride and an amount of bismuth oxide as a phase transition aid in addition to magnesium oxide, aluminium oxide, zirconium oxide and, optionally, silicon dioxide. The sintered bodies can be produced at temperatures of 1650 °C or less.

Journal ArticleDOI
TL;DR: In this article, the authors have found that a thicker oxide film is grown when the native oxide on the silicon substrate surface is not removed and attributed the difference in oxide growth is attributed to different surface conditions which affect the initial growth rather than the subsequent deposition rate.
Abstract: Selective deposition was observed in the growth of silicon dioxide using liquid‐phase deposition process. By adjusting the growth parameters, the key mechanism leading to the observed selective deposition was identified. It is found that within the same period of time, a thicker oxide film is grown when the native oxide on the silicon substrate surface is not removed. This difference in oxide growth is attributed to different surface conditions which affect the initial growth rather than the subsequent deposition rate. It is proposed that the chemical reaction that replaces Si‐H surface bonds to Si‐OH bonds as well as the formation of some kinds of intermediate product are the rate limiting processes for initial growth. On the other hand, changing the supersaturation level of the hydrofluosilicic acid results in different deposition rates although the substrate surfaces are the same. Combining these results, a critical concentration of hydrofluoric acid which depends on the substrate surface conditions is determined. As long as the concentration of hydrofluoric acid in the solution is smaller than this critical value, the oxide deposition occurs. Otherwise, no oxide can be grown.

Journal ArticleDOI
TL;DR: In this paper, the authors showed that a 100 nm-thick epitaxial film can be grown selectively with no Cl addition at 750°C/10 mTorr.
Abstract: Silicon nucleation on silicon dioxide and selective silicon epitaxial growth (SEG) were studied in an ultrahigh vacuum rapid thermal chemical vapor deposition (UHV‐RTCVD) reactor using 10% diluted in . Silicon was deposited on patterned Si (100) substrates over a pressure range of 10–100 mTorr and a temperature range of 650 and 850°C. Under these conditions, the growth rate ranged from 50 to 330 nm/minute, demonstrating compatibility with single wafer manufacturing throughput requirements. A pressure dependence in the activation energy in the surface reaction limited regime was observed and attributed to a variation in the steady‐state hydrogen coverage on the growing surface. The incubation time for loss of selectivity via Si nucleation on was found to increase at lower pressure and remained constant over the experimental temperature range. However, the incubation thickness defined as the film thickness that can be deposited before loss of selectivity occurs was found to increase both at low pressures and high temperatures. We show that a 100 nm thick epitaxial film can be grown selectively with no Cl addition at 750°C/10 mTorr.

Journal ArticleDOI
TL;DR: In vivo, the potential for silica to enhance fecal cholic acid excretion, relative to chenodeoxycholic acid during the initial stage of the study, may have contributed to the hypocholesterolemic response to the silica diet.
Abstract: Silicon dioxide, commonly referred to as silica, is present in plant cell walls and interstitial spaces, and is often found as a component of dietary fibers that have exhibited hypocholesterolemic activity in animals. The primary objective of this study was to determine the cholesterolemic effects of two different morphological forms of silicon in the diet of cholesterol-fed rats. Male Wistar rats were provided diets containing 1 g cholesterol/100 g diet, and 0.65 g Si/100 g diet as either a sodium salt (silicate group) or silicon dioxide, a synthetic silica polymer (silica group). Cellulose was used as a control (control group). The in vitro bile acid binding capacity of the SiO2 was also measured. After 44 d of diet administration, animals were deprived of food for 24 h and then killed. Plasma total, VLDL, and LDL cholesterol concentrations were 18%, 29%, and 26% lower, respectively, in the silica group than in the control group. However, liver cholesterol concentrations were not different among dietary treatments. During the initial 15 d of the study, average daily total fecal bile acids were 38% higher in the silica group than in the control group, but fecal bile acid outputs were not different for the remainder of the experiment. The silica polymer used in the feeding trial was found to adsorb 5 times more cholate than chenodeoxycholate, at pH 7.5 in vitro. In vivo, the potential for silica to enhance fecal cholic acid excretion, relative to chenodeoxycholic acid during the initial stage of the study, may have contributed to the hypocholesterolemic response to the silica diet.(ABSTRACT TRUNCATED AT 250 WORDS)

Journal ArticleDOI
TL;DR: In this paper, a fine line and space pattern was fabricated on silicon dioxide/silicon substrates by a chemical adsorption (CA) technique from 18-nonadecenyltrichlorosilane, 9-(heptadecafluorodecyl-dimethylsilyl) nonyltrithlorosinane etc. and a selective electron beam irradiation in a nitrogen atmosphere through an SUS mask.

Patent
24 May 1994
TL;DR: In this paper, a method of local oxidation by means of forming a plurality of silicon trenches is described, where portions of the insulating layer over the surface of a silicon substrate not covered by a mask pattern are etched through exposing the portion of the silicon substrate that will form the device isolation region.
Abstract: A new method of local oxidation by means of forming a plurality of silicon trenches is described. Portions of the insulating layer over the surface of a silicon substrate not covered by a mask pattern are etched through exposing the portion of the silicon substrate that will form the device isolation region. A first trench is etched into the exposed portion of the substrate. A layer of silicon nitride is deposited over the insulating layer and within the trench. A layer of an aluminum-silicon alloy is deposited overlying the silicon nitride layer. The aluminum-silicon layer is etched away whereby silicon nodules are formed on the surface of the silicon nitride layer. The nodules are oxidized to form silicon dioxide nodules. Using the silicon dioxide nodules as a mask, the silicon nitride layer is etched through to the insulating layer where it exists and to the silicon substrate surface where it is exposed and a set of narrow trenches is etched into the exposed portions of the substrate. The silicon substrate within the set of trenches is oxidized wherein the silicon is transformed to silicon dioxide and the silicon dioxide expands to fill the set of trenches. The silicon nitride layer and the silicon dioxide nodules within the opening are pushed up to align with the silicon nitride layer and the silicon dioxide nodules on either side of the opening. The remaining insulating layer and silicon nitride layer are removed wherein the silicon dioxide nodules are also removed completing the device isolation of the IC.

Patent
Kazuyoshi Ueno1, Tetsuya Homma1
22 Dec 1994
TL;DR: In this paper, a multilayer semiconductor device has a multi-layer structure wherein a substantially pure silicon dioxide film containing substantially no fluorine atom and a silicon oxide film containing fluorine atoms are sequentially laminated on a substrate.
Abstract: The semiconductor device has a multilayer structure wherein a substantially pure silicon dioxide film containing substantially no fluorine atom and a silicon dioxide film containing fluorine atoms are sequentially laminated on a substrate. Etching rate of a silicon dioxide film depends on a fluorine concentration in the film, so that a suitable etch selectivity of the silicon dioxide film containing fluorine atoms from the substantially pure silicon dioxide film can be obtained to form an oxide trench used for a trench interconnection and a via-hole used for a via-plug. The oxide film containing fluorine atoms has as good a quality as the silicon dioxide film not containing impurities has, thereby obtaining a superior characteristic of the semiconductor device. Addition of fluorine atoms reduces a specific permittivity to thereby obtain a higher speed.

Journal ArticleDOI
Michio Niwano, Koji Kinashi, Kazuhiko Saito1, Nobuo Miyamoto1, Koji Honma 
TL;DR: In this paper, an organic solution containing tetraethoxysilane Si(OC[sub 2]H[sub 5])[sub 4] (TEOS) is spin-coated onto a Si wafer surface to form a thin organic film which is then exposed to the UV light to synthesize silicon dioxide.
Abstract: The authors have previously proposed a method for depositing silicon dioxide films on Si from tetraethoxysilane Si(OC[sub 2]H[sub 5])[sub 4] (TEOS) using ultraviolet (UV) light from a low pressure mercury lamp. In the method, an organic solution which contains TEOS is spin-coated onto a Si wafer surface to form a thin organic film which is then exposed to the UV light to synthesize silicon dioxide. The photochemical reactions responsible for the oxide formation and the thermal properties of deposited films have been investigated using infrared (IR) and UV absorption spectroscopy and thermal desorption spectroscopy (TDS). IR and UV absorption data confirm that the UV light decomposes organic compounds in the spin-coated organic film to convert the film into a silicon dioxide film. The authors show that some photochemical reactions responsible for the decomposition of organic compounds are two-step processes. TDS data demonstrate that the deposited film is stable from substrate heating to approximately 400 C.

Journal ArticleDOI
TL;DR: In this paper, the chemical shift data of these glass families were combined and examined in the light of various models derived from both 11B and 29Si NMR for the sharing of alkali oxide between the borate and silicate glass networks.
Abstract: Alkali borosilicate glasses have been prepared with the general composition RM2O·B2O3·KSiO2, where M is an alkali metal (lithium, sodium or potassium), R represents the molar ratio of alkali oxide to boron oxide and K represents the molar ratio of silicon dioxide to boron oxide. 29Si magic angle spinning-nuclear magnetic resonance (MAS-NMR) was performed on these glasses to examine the short-range order about the silicon atoms. In this paper, the resultant chemical shift data of these glass families were combined and examined in the light of various models derived from both 11B and 29Si NMR for the sharing of alkali oxide between the borate and silicate glass networks. These models all define an R value, R0, below which the alkali oxide is entirely associated with the borate network, and above which the alkali oxide is shared proportionally between the two glass networks. By combining the data from the three glass families, the model with R0 optimized as a function of K was shown to provide an extremely good fit to the experimental data, while promising to reconcile both the 11B and 29Si NMR data. Of the three alkali borosilicate families studied, only the potassium borosilicates showed the effect of CO2 retention from the alkali carbonate starting materials on the 29Si NMR spectra.