scispace - formally typeset
Search or ask a question

Showing papers on "Chemical bath deposition published in 2008"


Journal ArticleDOI
Wentao Sun1, Yuan Yu1, Hua-Yong Pan1, Xianfeng Gao1, Qing Chen1, Lian-Mao Peng1 
TL;DR: Results clearly demonstrate that the unique nanotubes structure can facilitate the propagation and kinetic separation of photogenerated charges, suggesting potentially important applications of the inorganic QDs sensitized TiO2 nanotube-array films in solar cell applications.
Abstract: Novel CdS quantum dots (QDs) sensitized TiO2 nanotube-array photoelectrodes were investigated for their photoelectrochemical (PEC) performance. The highly ordered TiO2 nanotube arrays were synthesized by anodic oxidation and CdS QDs were deposited into the pores of the nanotube arrays by a sequential chemical bath deposition method. It is found that the CdS QDs deposited in the pores of the TiO2 nanotube arrays may significantly increase the liquid junction PEC short circuit photocurrent (from 0.22 to 7.82 mA/cm2) and cell efficiency (up to 4.15%). These results clearly demonstrate that the unique nanotube structure can facilitate the propagation and kinetic separation of photogenerated charges, suggesting potentially important applications of the inorganic QDs sensitized TiO2 nanotube-array films in solar cell applications.

1,058 citations


Journal ArticleDOI
TL;DR: In this paper, CdSe quantum dots (QDs) were applied onto nanostructured TiO2 films for different times by using a chemical bath deposition method in order to produce QD-sensitized solar cells (QDSSCs).
Abstract: CdSe quantum dots (QDs) were adsorbed onto nanostructured TiO2 films for different times by using a chemical bath deposition method in order to produce QD-sensitized solar cells (QDSSCs). Surface modification was done by coating ZnS onto the CdSe QDs. The optical absorption and current-voltage characteristics of these devices were studied. The size of the CdSe QDs increased with increasing adsorption time, and there was an optimum CdSe adsorption time for achieving the best photovoltaic conversion efficiency. The photovoltaic properties of short-circuit current density, open-circuit voltage, and photovoltaic conversion efficiency were significantly improved after modifying the surface with ZnS. Under a solar illumination of 100 mW/cm2, an efficiency as high as 2.02% was achieved for the CdSe QDSSCs that were made by using this method.

384 citations


Journal ArticleDOI
TL;DR: In this paper, the electrochromic properties of NiO thin films were investigated in an aqueous alkaline electrolyte by means of transmittance, cyclic voltammetry (CV) and chronoamperometry (CA) measurements.

358 citations


Journal ArticleDOI
TL;DR: In this article, a low-temperature controllable chemical bath deposition method was demonstrated to prepare one-dimensional ZnO nanorods and two-dimensional nanoplates, and their surface-related emissions were studied by temperature-dependent cathodoluminescence spectra.
Abstract: In this paper, a low-temperature controllable chemical bath deposition method was demonstrated to prepare one-dimensional ZnO nanorods and two-dimensional nanoplates, and their surface-related emissions were studied by temperature-dependent cathodoluminescence spectra. By changing the precursor concentration, the ZnO morphology evolves from nanorods to nanoplates. ZnO nanorods grow fast along the c-axis direction due to the high surface energy of the polar (0001) plane when the concentration of OH- ions is low in the precursor solution. When the OH- concentration is increased, more OH- ions preferably adsorb on the (0001) plane of ZnO, and the growth of the ZnO nanocrystallite along the c axis is partially suppressed. However, they can still grow sideways along directions. Therefore, with the OH- concentration increased, the average aspect ratio (high/width) of ZnO nanorods is decreased. Finally, two-dimensional ZnO nanoplates are formed. Low-temperature cathodoluminescence spectra of such ZnO na...

221 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of film thickness and annealing temperature in atmospheric air, on optical properties, band gap energy and grain size of nanocrystals were studied using X-ray diffraction analysis.

211 citations


Journal ArticleDOI
TL;DR: In this article, the effect of cadmium sources on film optical/electrical properties as well as film thickness, composition, crystal structure, and surface morphology was studied, and films were found to be highly stoichiometric.

182 citations


Journal ArticleDOI
X.H. Huang1, J.P. Tu1, X.H. Xia1, X.L. Wang1, J.Y. Xiang1 
TL;DR: In this article, the NiO/polyaniline (PANI) film was obtained by depositing the PANI layer on the surface of NiO film, which exhibited weaker polarization as compared to NiO.

152 citations


Journal ArticleDOI
TL;DR: In this paper, a chemical bath deposition (CBD) and sol-gel method was used to extract polycrystalline cubic NiO, and the CBD NiO thin film with a highly porous structure exhibited a noticeable electrochromic performance.

150 citations


BookDOI
19 Dec 2008
TL;DR: In this paper, the authors present a method for forming SiO2 films from a solution of liquid silicon, which is then used to construct a TFT using an inkjet-printing silicon film.
Abstract: Preface. Contributors. 1. Introduction to Solution-Deposited Inorganic Electronics (Robert H. Reuss and Babu R. Chalamala). 1.1 Background and Motivation. 1.2 Importance of Solution Processing. 1.3 Application Challenges: TFT Devices and Circuits. 1.4 Application Challenges: Optoelectronics. 1.5 Application Challenges: Power Sources, Sensors, and Actuators. 1.6 Conclusions. References. 2. Chemical Solution Deposition-Basic Principles (Robert W. Schwartz and Manoj Narayanan). 2.1 Introduction. 2.2 Substrate Surface Preparation. 2.3 Starting Reagents and Solvents. 2.4 Precursor Solution Preparation and Characteristics. 2.5 Film Formation Behavior. 2.6 Structural Evolution: Film Formation, Densifi cation, and Crystallization. 2.7 Summary. References. 3. Solution Processing of Chalcogenide Semiconductors via Dimensional Reduction (David B. Mitzi). 3.1 Introduction. 3.2 Dimensional Reduction. 3.3 Hydrazine Precursor Route. 3.4 Similar Approaches without Hydrazine. 3.5 Future Prospects. References. 4. Oxide Dielectric Films for Active Electronics (Douglas A. Keszler, Jeremy T. Anderson, and Stephen T. Meyers). 4.1 Introduction. 4.2 Gate Dielectric Materials Selection. 4.3 Producing High-Quality Films from Solution. 4.4 HafSOx Thin-Film Dielectrics. 4.5 AlPO Thin-Film Dielectric. 4.6 Compositionally Graded and Laminated Structures. 4.7 Summary and Perspective. References. 5. Liquid Silicon Materials (Masahiro Furusawa and Hideki Tanaka). 5.1 Introduction. 5.2 Liquid Silicon Material. 5.3 Forming Silicon Films from the Liquid Silicon Materials. 5.4 Fabrication of a TFT Using a Solution-Processed Silicon Film. 5.5 Fabrication of TFT Using Inkjet-Printed Silicon Film. 5.6 Forming SiO2 Films from the Liquid Silicon Materials. 5.7 LTPS Fabrication Using Solution-Processed SiO2 Films. 5.8 Forming Doped Silicon Films. 5.9 Conclusions. Acknowledgments. References. 6. Spray CVD of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials (Aloysius F. Hepp, Kulbinder K. Banger, Michael H.-C. Jin, Jerry D. Harris, Jeremiah S. McNatt, and John E. Dickman). 6.1 Introduction. 6.2 Single-Source Precursor Studies. 6.3 Spray or Atmosphere-Assisted CVD Processing. 6.4 Atmospheric Pressure Hot-Wall Reactor Parametric Study. 6.5 Fabrication and Testing of CIS Solar Cells. 6.6 Concluding Remarks. Acknowledgments. References. 7. Chemical Bath Deposition, Electrodeposition, and Electroless Deposition of Semiconductors, Superconductors, and Oxide Materials (Raghu Bhattacharya). 7.1 Introduction. 7.2 Chemical Bath Deposition. 7.3 Deposition of CIGS by Electrodeposition and Electroless Deposition. 7.4 Electrodeposition of Oxide Superconductors. 7.5 Electrodeposition of Cerium Oxide Films. 7.6 Electrodeposition of Gd 2 Zr 2 O 7 . References. 8. Successive Ionic Layer Adsorption and Reaction (SILAR) and Related Sequential Solution-Phase Deposition Techniques (Seppo Lindroos and Markku Leskela). 8.1 Introduction. 8.2 SILAR. 8.3 Materials Grown by SILAR. 8.4 ILGAR. 8.5 ECALE. 8.6 Other Sequential Solution-Phase Deposition Techniques. References. 9. Evaporation-Induced Self-Assembly for the Preparation of Porous Metal Oxide Films (Bernd Smarsly and Dina Fattakhova-Rohlfing). 9.1 Introduction. 9.2 The EISA Process. 9.3 Characterization of Self-Assembled Films. 9.4 Generation of Mesoporous Crystalline Metal Oxide Films Via Evaporation-Induced Self-Assembly. 9.5 Electronic Applications. 9.6 Mesoporous Films in Dye-Sensitized Solar Cells. 9.7 Conclusions. References. 10. Engineered Nanomaterials as Soluble Precursors for Inorganic Films (Dmitri V. Talapin). 10.1 Introduction. 10.2 Synthesis of Inorganic Nanomaterials. 10.3 Nanoparticles as Soluble Building Blocks for Inorganic Films. 10.4 Films and Arrays of Inorganic Nanowires. 10.5 Applications Using Networks and Arrays of Carbon Nanotubes. 10.6 Concluding Remarks. Acknowledgments. References. 11. Functional Structures Assembled from Nanoscale Building Blocks (Yu Huang). 11.1 Introduction. 11.2 Building Blocks: Synthesis and Properties. 11.3 Hierarchical Assembly of Nanowires. 11.4 Nanowire Electronics and Optoelectronics. 11.5 Nanowire Thin-Film Electronics-Concept and Performance. 11.6 Summary and Perspective. References. 12. Patterning Techniques for Solution Deposition (Paul Brazis, Daniel Gamota, Jie Zhang, and John Szczech). 12.1 Introduction. 12.2 Opportunities for Printable Inorganic verses Organic Materials Systems. 12.3 Printing and the Microelectronics Industry-Present and Future. 12.4 Printed Electronics Value Chain. 12.5 Electrically Functional Inks. 12.6 Printing Technologies. 12.7 Structure of a Printed Transistor. 12.8 Patterning Techniques for Solution Deposition: Technology Diffusion. 12.9 Conclusions. References. 13. Transfer Printing Techniques and Inorganic Single-Crystalline Materials for Flexible and Stretchable Electronics (Jong-Hyun Ahn, Matthew A. Meitl, Aflred J. Baca, Dahl-Young Khang, Hoon-Sik Kim, and John A. Rogers). 13.1 Introduction. 13.2 Inorganic Single-Crystalline Semiconductor Materials for Flexible Electronics. 13.3 Transfer Printing Using an Elastomer Stamp. 13.4 Flexible Thin-Film Transistors that Use mus-Sc on Plastic. 13.5 Integrated Circuits on Plastic. 13.6 mus-Sc Electronics on Rubber. 13.7 Conclusion. References. 14. Future Directions for Solution-Based Processing of Inorganic Materials (M. F. A. M. van Hest and D. S. Ginley). 14.1 Introduction. 14.2 Materials. 14.3 Deposition Approaches. 14.4 Next Generation of Applications. 14.5 Conclusions. References. Index.

147 citations


Journal ArticleDOI
TL;DR: In this article, the performance of a room temperature (300 K) LPG sensor based on a p-polyaniline/n-TiO 2 heterojunction was reported.
Abstract: In the present work, we report on the performance of a room temperature (300 K) liquefied petroleum gas (LPG) sensor based on a p-polyaniline/n-TiO 2 heterojunction. The heterojunction was fabricated using electrochemically deposited polyaniline on chemically deposited TiO 2 on a stainless steel substrate. Both the methods (chemical bath deposition and electrodeposition) are simple, inexpensive and suitable for large-scale production. TiO 2 and polyaniline films were characterized for their structural as well as surface morphologies and LPG response was studied. The XRD analysis showed formation of polycrystalline TiO 2 while polyaniline exhibited amorphous nature. Morphological analysis using scanning electron microscopy (SEM) of the junction cross-section revealed formation of a diffusion free interface. The heterojunction showed the maximum response of 63% upon exposure to 0.1 vol% LPG at room temperature.

135 citations


Journal ArticleDOI
TL;DR: In this article, the influence of annealing in nitrogen atmosphere on the structure, optical and electrical properties of cadmium selenide (CdSe) thin films deposited by chemical bath deposition (CBD) onto glass substrates was studied.

Journal ArticleDOI
TL;DR: In this article, chemical bath deposition of ZnS thin films from NH 3 /SC(NH 2 ) 2 /ZnSO 4 solutions has been studied and the effect of various process parameters on the growth and the film quality are presented.

Journal ArticleDOI
TL;DR: In this paper, a cubic nanocrystalline PbS phase formation was found in the polyvinyl alcohol (PVA) matrix on glass substrates by chemical bath deposition at and below room temperature (30°C).
Abstract: Nanoparticles of lead sulfide (PbS) have been grown within the pores of polyvinyl alcohol (PVA) matrix on glass substrates by chemical bath deposition at and below room temperature (30 °C). Lead acetate and thiourea, dissolved in an alkaline medium, were taken as the sources of lead and sulfur. X-ray diffraction and selected area electron diffraction studies confirmed the cubic nanocrystalline PbS phase formation. Transmission electron micrograph of the films revealed the particle size lying in the range 10–20 nm. X-ray photoelectron spectroscopic studies confirmed the presence of lead and sulfur in the films, and their atomic ratios were found to be dependent on the deposition temperature. UV–vis spectrophotometric measurement showed a direct allowed band gap lying in the range 2.40–2.81 eV, which is much higher than the bulk value (0.41 eV). The band gap decreases with the increase of deposition temperature. The dielectric constant of the PVA-capped nanocrystalline PbS was in the range 155–265 at higher frequencies, which is much higher compared to only PVA and bulk PbS.

Journal ArticleDOI
TL;DR: In this article, a design of experiment approach for the optimization of CdS thin films grown by chemical bath deposition using nitrilotriacetic acid (NTA) as a complexing agent was presented.

Journal ArticleDOI
TL;DR: Aluminum and indium in situ doping of CdS using chemical bath deposition (CBD) was investigated in this article, where a resistivity as low as 4.6 × 10−2 Ω cm and a carrier density as high as 1.1 × 1019 cm−3 were achieved.
Abstract: Aluminum and indium in situ doping of CdS using chemical bath deposition (CBD) is investigated. The effects of Al and In-doping on optical properties as well as on electrical properties, crystal structure, chemistry and morphology of CdS films are studied. Al doping of CdS using CBD is shown to be successful where a resistivity as low as 4.6 × 10−2 Ω cm and a carrier density as high as 1.1 × 1019 cm−3 were achieved. The bandgap of Al-doped films decreases to a minimum of 2.26 eV, then slightly increases and finally saturates at 2.30 eV as the [Al]/[Cd] ratio in solution increases from 0.018 to 0.18. X-ray diffraction studies showed Al3+ ions entering the lattice substitutionally at low concentration and interstitially at high concentration. Phase transition, due to annealing, and induced lattice damage, due to doping, were detected by micro-Raman spectroscopy. Film stoichiometry was found to be sensitive to Al concentration, while film morphology was unaffected by Al doping. Indium doping using CBD, however, was found to be highly unlikely due to the low solubility of indium sulfide. Instead, the formation of InS/In2S3 dominated the deposition process over CdS.

Journal ArticleDOI
TL;DR: The nature of the metal oxide deposition is dominated by bottom-up growth, leading to ready formation of crack-free epitaxial metal oxides and the ability to coat nanofeatured substrates in a conformal fashion.

Journal ArticleDOI
TL;DR: In this article, a Cd1−xZnxS thin film was grown on an ITO substrate using a chemical bath deposition technique and the as-deposited films were annealed in air at 400°C for 30 min.

Journal ArticleDOI
TL;DR: A chemical synthesis process for the fabrication of CdO nanowires is described in this article, where transparent and conductive cdO films were synthesized on the glass substrate using chemical bath deposition (CBD) at room temperature.

Journal ArticleDOI
TL;DR: In this article, the authors reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films were conducted.

Journal ArticleDOI
TL;DR: In this paper, structural, optical and electrical properties of CdSe polycrystalline thin films were analyzed by chemical bath deposition at 70°C and the results indicated that the prepared CdSE thin films are non-stoichiometric in composition (Cd1.3Se0.7).

Journal ArticleDOI
TL;DR: In this paper, vertically-aligned ZnO nanorods on Si substrates were prepared by atwo-step chemical bath deposition (CBD) method, and the optical properties of the grown nanorod were investigated by time reso...
Abstract: Verticallywell-aligned ZnO nanorods on Si substrates were prepared by atwo-step chemical bath deposition (CBD) method. The optical properties ofthe grown ZnO nanorods were investigated by time reso ...

Journal ArticleDOI
TL;DR: In this article, the as-prepared nanostructured seed layer was characterized by X-ray diffraction (XRD) and scanning electron microscope (SEM) for its structure and surface morphology.

Journal ArticleDOI
TL;DR: The porous NiO/polyaniline (PANI) composite film shows good reaction kinetics with fast switching speed, and the response time for oxidation and reduction is 90 and 110 ms, respectively.
Abstract: A highly porous NiO/polyaniline (PANI) composite film was prepared on ITO glass by combining the chemical bath deposition and electro-polymerization methods, successively. The porous NiO film acts as a template for the preferential growth of PANI along NiO flakes, and the NiO/PANI composite film has an intercrossing net-like morphology. The electrochromic performance of the NiO/PANI composite film was investigated in 1?M LiClO4+1?mM HClO4/propylene carbonate (PC) by means of transmittance, cyclic voltammetry (CV) and chronoamperometry (CA) measurements. The NiO/PANI thin film exhibits a noticeable electrochromism with reversible color changes from transparent yellow to purple and presents quite good transmittance modulation with a variation of transmittance up to 56% at 550?nm. The porous NiO/polyaniline (PANI) composite film also shows good reaction kinetics with fast switching speed, and the response time for oxidation and reduction is 90 and 110?ms, respectively.

Journal ArticleDOI
TL;DR: In this article, a highly porous cobalt oxide thin film was prepared on ITO glass by a facile chemical bath deposition (CBD) method, which has an intercrossing net-like morphology.

Journal ArticleDOI
TL;DR: In this article, it was found that a large lattice mismatch between the substrate and PbS results in formation of coarse-grained layers with a small effective thickness (e.g. Pb s on Si), while close matching of lattice constants leads to deposition of thicker layers with smaller grain size.

Journal ArticleDOI
TL;DR: In this paper, the authors reported synthesis of cadmium oxide (CdO) thin films by a simple, inexpensive chemical bath deposition (CBD) method and their application to a liquefied petroleum gas (LPG) sensor.
Abstract: We report synthesis of cadmium oxide (CdO) thin films by a simple, inexpensive chemical bath deposition (CBD) method and their application to a liquefied petroleum gas (LPG) sensor. The dependence of LPG sensing properties on the structural and surface morphological properties has been studied. The structural and surface morphological properties of the films were studied by X-ray diffraction (XRD) and scanning electron microscopy (SEM), respectively. The CdO films were highly oriented along (1 1 1) and (2 0 0) with the cubic crystal structure. The SEM observation reveals that porous nano-fibrous structure of CdO is grown, but the thickness increases as the molarity of the Cd2+ precursor increases and the film becomes nearly flat. The mechanism of LPG sensing by the CdO thin film is explained on the basis of adsorbed oxygen on the sensor surface. The maximum response of 23.28% to 0.2 vol.% of LPG was observed at 698 K with the CdO film deposited using a 0.15 M Cd2+ precursor solution.

Journal ArticleDOI
TL;DR: In this article, a stable Gratzel solar cell has been fabricated, where nano-crystalline titanium oxide (TiO2) photoelectrode has been deposited on SnO2:F coated glass substrate by facing target reactive sputtering technique and CdS sensitizing layer has been accumulated by chemical bath deposition technique.

Journal ArticleDOI
TL;DR: In this article, the size of ZnO nanorods increased with molar concentration of zinc nitrate, and the nanorod with different aspect ratios also formed through tuning the reaction time.

Journal ArticleDOI
TL;DR: In this paper, ternary alloyed CdS 1− x Se x thin films of variable composition were grown by the simple and economical chemical bath deposition technique, and the as-grown thin films were characterized for structural, compositional, surface morphological, optical and electrical studies.

Journal ArticleDOI
TL;DR: In this paper, an aqueous method for the deposition of silver indium sulfide ternary semiconductor thin films is presented, where a single phase of AgInS2 with orthorhombic structure was selectively grown on 3mercaptopropyl-trimethoxysilane-modified glass substrates.
Abstract: An aqueous method for the deposition of silver indium sulfide ternary semiconductor thin films is presented. According to grazing-incidence X-ray diffraction studies, a single phase of AgInS2 with orthorhombic structure or a single phase of AgIn5S8 with cubic spinel structure can be selectively grown on 3-mercaptopropyl-trimethoxysilane-modified glass substrates. As-deposited thin films were annealed for 1 h in an argon environment at 400 °C in a tube furnace. It was found that when [Ag]/[In] = 1 in the precursor solution, AgInS2 was obtained. On the other hand, AgIn5S8 resulted from [Ag]/[In] ≤ 0.33 in the precursor solution. The energy gap, determined from transmission and reflection spectra, is located between 1.8 and 2.0 eV. The thickness of the thin films was in the range of 500−700 nm. Electrical resistivity was on the order of 104 Ω-cm. In addition, silver indium sulfide crystals were grown on octadecyltrichlorosilane-modified glass substrates without any post-thermal treatment. Powder X-ray diffr...