scispace - formally typeset
Search or ask a question

Showing papers on "Contact resistance published in 1985"



Journal ArticleDOI
TL;DR: In this article, the authors explore both qualitatively and quantitatively the mechanism of the improved current gain in bipolar transistors with polysilicon emitter contacts and make estimates about upper bounds on transport parameters in the principal regions of the devices.
Abstract: This paper presents the results of an experimental study designed to explore both qualitatively and quantitatively the mechanism of the improved current gain in bipolar transistors with polysilicon emitter contacts. Polysilicon contacts were deposited and heat treated at different conditions. The electrical properties Were measured using p-n junction test structures that are much more sensitive to the contact properties than are bipolar transistors. A simple phenomenological model was used to correlate, the structural properties with electrical measurements. Possible transport mechanisms are examined and estimates are made about upper bounds on transport parameters in the principal regions of the devices. The main conclusion of this study is that the minority-carrier transport in the polycrystalline silicon is dominated by a highly disordered layer at the polysilicon-monosilicon interface characterized by very low minority-carrier mobility. The effective recombination velocity at the n+polysilicon-n+monosilicon interface was found to be a strong function of fabrication conditions. The results indicate that the recombination velocity can be much smaller than 104cm/s.

82 citations


Journal ArticleDOI
TL;DR: In this paper, the effects of deposition parameters such as temperature and WF6 and H2 flow rates on the properties of the W films have been investigated, and it was shown that W films exhibit good contact resistance to N and P Si, and are also found to be excellent diffusion barriers between Al and Si at annealing temperatures up to 450C.
Abstract: Tungsten films have been selectively deposited (i.e., deposited on Si and TaSi2 to the exclusion of SiO2) by LPCVD via the reduction of WF6 by either Si or H2. Films formed by H2 reduction can be unlimite in thickness; however, those formed by Si reduction are self-limited in thickness to about 150A. The effects of deposition parameters such as temperature and WF6 and H2 flow rates on the properties of the W films have been investigated. To prevent excessive erosion of Si in window areas, the volumetric flow ratio of H2 to WF6 must be larger than the critical value of about three. Typical films are polycrystalline with an average grain size of 2000A and exhibit a tensile film stres of about 7 X 10Z dyn/cmS. W film resistivity is found to be about 13 -cm for a 1000A film, resulting in sheet resistance of 1.3 . The W films exhibit good contact resistance to N and P Si, and are also found to be excellent diffusion barriers between Al and Si at annealing temperatures up to 450C.

79 citations


Journal ArticleDOI
TL;DR: In this paper, an accurate numerical analysis of Kelvin resistors used for direct interfacial contact resistance measurements is presented, where curves that allow extraction of true specific contact resistivity from measured specific contact resistance are given for different ratios of square contact window size (l) to square diffusion tap width (w).
Abstract: An accurate numerical analysis of Kelvin resistors used for direct interfacial contact resistance measurements is presented. Curves that allow extraction of true specific contact resistivity from measured specific contact resistivity are given for different ratios of square contact window size (l) to square diffusion tap width (w). Scaling transformations are proposed to extract curves for different feature sizes. It has been shown that when l is made smaller than w, the extracted value of the specific contact resistivity (ρ ce ) can be significantly higher than the true specific contact resistivity (ρ c ), especially for low values of ρ c .

72 citations


Journal ArticleDOI
TL;DR: In this article, three different contact schemes Al-Si, Al-Ti, Al/TiN/Ti, and Al/NiN/Ni-Si have been studied for their electrical characteristics and thermal stability on shallow n+p-junctions.
Abstract: Three different contact schemes Al–Si, Al/Ti–Si, and Al/TiN/Ti–Si have been studied for their electrical characteristics and thermal stability on shallow n+p‐junctions. Contact resistance and leakage current measurements indicate that the Al/Ti structure remains stable up to 450 °C and the Al/TiN/Ti structure up to 500 °C for 15 min, whereas Al–Si contacts degrade already at 400 °C. The stability of the Al/Ti contacts is limited by the thin film reaction between Al and Ti while the failure of the Al/TiN/Ti structure is attributed to local defects such as pinholes in the TiN barrier.

63 citations


Journal ArticleDOI
TL;DR: An in situ rf sputter precleaning of the GaAs substrate before AuGeNi ohmic metal deposition yields contact resistance Rc=0.11 Ω/mm at a peak doping of ∼1018/cm3 as discussed by the authors.
Abstract: An in situ rf sputter precleaning of the GaAs substrate before AuGeNi ohmic metal deposition yields contact resistance Rc=0.11 Ω mm at a peak doping of ∼1018/cm3. Excellent uniformity and thermal stability are achieved across the wafer. The contacts do not deteriorate appreciably after themal treatment at 410 °C for 57 h.

52 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that the presence of a deliberately grown interfacial oxide layer leads to a significant increase in emitter resistance for both arsenic- and phosphorus-doped devices.
Abstract: Measurements of emitter resistance have been made on arsenic- and phosphorus-doped polysilicon emitter bipolar transistors, fabricated with or without an interfacial oxide layer. It is found that the emitter resistance of phosphorus-doped transistors is considerably lower than that of arsenic-doped transistors. In addition the presence of a deliberately grown interfacial oxide layer leads to a significant increase in emitter resistance for both arsenic- and phosphorus-doped devices.

47 citations


Journal ArticleDOI
J.M.C. Stork1, M. Arienzo, C.Y. Wong
TL;DR: In this article, the diffusion of As from polysilicon into boron-implanted single-crystal silicon through different interfaces obtained with different surface preparation techniques prior to poly-silicon deposition is studied.
Abstract: The diffusion of As from polysilicon into boron-implanted single-crystal silicon through different interfaces obtained with different surface preparation techniques prior to polysilicon deposition is studied. The impurity profiles have been analyzed by SIMS and C-V measurements and ESCA has been used to determine the structural properties of the interface. Electrical measurements on diodes have been performed to study the diode characteristics and the electrical interface resistance. The diffusion through chemically grown oxide layers is found to be strongly retarded with respect to "oxygen-free" interfaces. A strong correlation appears to exist between the diffusive and electrical barrier properties of such interfaces. For increasing oxygen content at the interface, the minimum diffusion cycle required to obtain good diode ideality factors is higher as is the electrical interface resistance. We have observed an order of magnitude increase in the contact resistance for annealing temperatures between 800° and 900°C. One of the major conclusions is that the necessity to go to higher temperatures to decrease the series resistance of the polysilicon contacts in the case of chemically grown interface oxides is compromising their use in high-performance VLSI technologies.

43 citations


Journal ArticleDOI
TL;DR: In this paper, the authors reported contact resistances as low as 0.035 Ω mm between the ohmic contact metal and the two-dimensional electron gas channel in an AlGaAs-GaAs modulation-doped field effect transistor structure.
Abstract: We report contact resistances as low as 0.035 Ω mm between the ohmic contact metal and the two‐dimensional electron gas channel in an AlGaAs‐GaAs modulation‐doped field‐effect transistor structure. The contact resistances are achieved by transient annealing of AuGe/Ni/Au, and are to our knowledge the smallest values reported to date for these structures at room temperature. Assuming no change in the semiconductor sheet resistivity under the metal contact, the calculated specific contact resistivity would be as low as 5×10−8 Ω cm2, however, the true figure is not known. Details of our contact study include varying temperature‐time cycles and heterojunction structures. Current‐voltage measurements at 77 K show ohmic behavior, revealing the destruction of the heterojunction barrier in the contact region. Preliminary Auger energy spectroscopy profiles support an intermixing of the metal and semiconductor constituents down through the original AlGaAs‐GaAs interface. We, therefore, propose a model where the cur...

42 citations


Journal ArticleDOI
TL;DR: In this paper, the cross-bridge Kelvin resistor structure is used to extract true interfacial specific contact resistivity (ρ c ), and two-dimensional (2D) simulations demonstrate that the sublinear behavior of the measured contact resistance versus contact area on a log-log plot is due to current crowding around the contact which results from the contact window size being smaller than the diffusion width.
Abstract: The cross-bridge Kelvin resistor structure is used to extract true interfacial specific contact resistivity (ρ c ). Two-dimensional (2-D) simulations demonstrate that the sublinear behavior of the measured contact resistance versus contact area on a log-log plot is due to current crowding around the contact which results from the contact window size being smaller than the diffusion width. The effect is more pronounced for low values of ρ c . Excellent agreement has been found between the simulations and measured data of contact resistances. An accurate value of ρ c has been extracted for the case of PtSi to n+polysilicon contacts.

38 citations


Journal ArticleDOI
TL;DR: In this paper, a model of the metal-semiconductor junction, for heavily doped GaAs, so that tunneling dominates the current is presented, assuming that the imaginary part of the wave vector in the semiconductor is given by the two-band model.
Abstract: We present a model of the metal–semiconductor junction, for heavily doped GaAs, so that tunneling dominates the current. It is assumed that the imaginary part of the wave vector in the semiconductor is given by the two‐band model. Modifications in the barrier potential due to image charge, negative charge near the interface, and the degenerate doping of the semiconductor are included. The role of the L‐point minimum in the GaAs in determining the position of the Fermi level in the semiconductor is included. The energy distribution of the conductance as a function of doping and barrier height is given. The contact resistance as a function of doping and barrier height is also presented. The results suggest that previous calculations are substantially in error due to the simple models that were used for the dependence of the imaginary part of the wave vector on energy.

Book ChapterDOI
01 Jan 1985
TL;DR: In this article, a review of the electrical properties of metal-semiconductor contacts to the III-V semiconductors is given, and the properties of Schottky diodes and ohmic contacts are emphasized.
Abstract: In this chapter a review of the electrical properties of metal-semiconductor contacts to the III-V semiconductors is given. Metal-semiconductor structures play an important role in devices based on the III-V compound semiconductors in the form of Schottky-barrier diodes or ohmic contacts. Important III-V devices utilizing Schottky-barrier junctions include solar cells, microwave mixer diodes, and metal semiconductor field-effect transistors (MESFETs) and their associated integrated circuits. Schottky diodes also find widespread use for III-V semiconductor materials characterization, including carrier concentration profiling and deep-level identification. Ohmic contacts with low resistance are necessary for high performance in many III-V devices. For example, the efficiency of light-emitting diodes and lasers is strongly influenced by contact resistance, and the noise behavior and the gain of an FET are significantly affected by the character of ohmic contacts. In all of these cases, the metal-semiconductor interface is formed on a chemically etched, as compared to an atomically clean, semiconductor surface. Thus, in this chapter the properties of Schottky diodes and ohmic contacts prepared by chemically etching the III-V semiconductors are emphasized, while the previous chapter dealt with metal-semiconductor interface formation on atomically clean surfaces.

Journal ArticleDOI
TL;DR: In this paper, a self-aligned process was developed for bipolar very large-scale integrated (VLSI) applications, where diodes and resistors were fabricated within the poly-Si layer and integrated into memory cell units with silicide interconnects.
Abstract: A noble self‐aligned process has been developed for bipolar very large‐scale integrated (VLSI) applications. The structure considered here is a polysilicon emitter system where diodes and resistors are fabricated within the poly‐Si layer and integrated into memory cell units with silicide interconnects. The process was designed to eliminate device degradation related to dopant segregation arising from high temperature cycles. The silicide reaction was studied by conventional furnace anneal in H2 and by rapid thermal anneal (RTA) in Ar and N2. The sintering ambient has a pronounced effect on the silicide growth and film texture. A tremendous improvement in process flexibility and reproducibility can be attained by using N2, which drastically retards the silicide growth. Auger electron spectroscopy (AES) and chemical data suggested that the Ti–N2 interaction is of physical nature. The dependence of TiSi2/poly‐Si contact resistance on the poly‐Si doping concentration was measured for As and B doses of 1.0×10...

Journal ArticleDOI
TL;DR: In this paper, it was shown that P-implantation forming n+regions followed by post-metallization annealing (PMA) at a moderate temperature of 200°C is very efficient in reducing the resistance of the Al contacts to negligibly small values.
Abstract: Amorphous silicon thin-film field-effect transistors have been made with a staggered electrode structure. In this structure we distinguish two separate contributions to the total contact resistance, namely, the Al/a-Si:H barrier itself and the bulk resistance of the underlying a-Si:H layer. Concerning the first contribution it was found that a P-implantation forming n+regions followed by post-metallization annealing (PMA) at a moderate temperature of 200°C is very efficient in reducing the resistance of the Al contacts to negligibly small values. The second contribution, i.e., the bulk resistance, implies a variable series resistance in field-effect (FE) measurements. Thin-film transistors (TFT's) with different gate lengths were used for the first time to determine this residual series resistance R res .

Journal ArticleDOI
TL;DR: In this article, a postimplant rapid isothermal annealing is carried out at 800 ˚C for 30 s by using an electron beam, and the effectiveness of these TiN films as a diffusion barrier is then evaluated when put in contact with a thick Al overlayer.
Abstract: TiN films are prepared by N+2 implantation onto evaporated Ti films. By properly selecting the Ti thickness, implantation energy and annealing process, a TiSi2/TiN structure can be formed. In particular, in this work a postimplant rapid isothermal annealing is carried out at 800 °C for 30 s by using an electron beam. The effectiveness of these TiN films as a diffusion barrier is then evaluated when put in contact with a thick Al overlayer. Both the TiN/Al and TiSi2/TiN/Al contact structures are tested, after thermal treatments up to 600 °C, on shallow junction diodes and four terminal resistor test patterns for contact resistance measurements. The electrical performances of the TiSi2/TiN/Al contact system are found to be good, although the degradation resistance is slightly worse than the one observed on similar structures annealed in a vacuum furnace after the nitrogen implantation.

Journal ArticleDOI
M. Braunovic1
TL;DR: In this paper, a number of contact aid compounds commonly used for aluminum-to-aluminum connectors and conductors were evaluated on the basis of their effect on the contact resistance-force/torque relationships, stability to thermal degradation, consistency, and ability to protect the contact against fretting.
Abstract: A number of contact aid compounds commonly used for aluminum-to-aluminum connectors and conductors were evaluated on the basis of their effect on the contact resistance-force/torque relationships, stability to thermal degradation, consistency, and ability to protect the contact against fretting. The results showed that the use of the contact aid compounds markedly improves the contact properties of a joint and significantly reduces its susceptibility to fretting.

Journal ArticleDOI
TL;DR: SiOxNy capped infrared rapid thermal annealing was investigated for activating high dose (>7×1013 cm−2) Si implants in GaAs in this paper, which resulted in enhancement in electrical activation.
Abstract: SiOxNy capped infrared rapid thermal annealing was investigated for activating high dose (>7×1013 cm−2) Si implants in GaAs. The SiOxNy encapsulation resulted in enhancement in electrical activation. An electron concentration as high as 9×1018 cm−3 was obtained by 1120 °C, 5‐sec annealing using an SiOxNy encapsulant with 1.75 refractive index. Nonalloyed ohmic contacts were formed by depositing AuGe‐Ni on a heavily doped n‐type layer activated by this technique, where a 9×10−5 Ω cm2 specific contact resistance was obtained. Furthermore, low‐temperature (300 °C) alloying significantly improved a specific contact resistance to as low as 6×10−6 Ω cm2 while keeping a smooth morphology. These techniques, including low‐temperature alloying, are promising for GaAs and its heterostructure device applications.

Patent
20 Nov 1985
TL;DR: In order to increase the stability of a device comprising at least one electrode and a conductive polymer composition in contact therewith, the contact resistance between the electrode and the composition should be reduced as mentioned in this paper.
Abstract: In order to increase the stability of a device comprising at least one electrode and a conductive polymer composition in contact therewith, the contact resistance between the electrode and the composition should be reduced. This can be achieved by contacting the molten polymer composition with the electrode while the electrode is at a temperature above the melting point of the composition. Preferably, the polymer composition is melt-extruded over the electrode or electrodes, as for example when extruding the composition over a pair of pre-heated stranded wires.

Patent
28 Jan 1985
TL;DR: In this paper, a sliding contact point material is formed by adding 0.5-15wt% Cd and 0.1-2% >=1 kinds among W, V and Mo into Ag and substituting part of said Cd with an Fe group element in a 0.01-0.5% range according to need to improve the wear resistance of an Ag-Cd alloy and to prevent generation of noise owing to abrasive wear.
Abstract: PURPOSE:To provide a titled material by adding Cd, W, V, Mo, etc. at a specific ratio into Ag thereby suppressing the adhesion characteristic of an Ag-Cd alloy, improving wear resistance and stabilizing contact resistance to a low level. CONSTITUTION:A sliding contact point material is formed by adding 0.5-15wt% Cd and 0.1-2% >=1 kinds among W, V and Mo into Ag and substituting part of said Cd with an Fe group element in a 0.01-0.5% range according to need to improve the wear resistance of an Ag-Cd alloy and to prevent generation of noise owing to abrasive wear. The contact resistance thereof is stabilized at a low level by suppressing generation of an oxide. Cd in the above-mentioned material improves the wear resistance of Ag but the effect does not appear at the lower limit or below and the contact resistance is high and unstable at the upper limit or above. W, V, Mo, etc. improve the wear resistance in the above-mentioned addition range but alloying is difficult above the upper limit. The Fe group element contributes to the formation of the finer grains of the crystal and the additionally improved wear resistance.

Journal ArticleDOI
TL;DR: In this paper, low resistance ohmic contacts to p-type epitaxial GaAs were fabricated by heat treating Zn/Pd/Au metallizations with an acceptor concentration of 1.5 × 1019cm-3.cm2.
Abstract: We have fabricated the low resistance ohmic contacts to p-type GaAs. Specific contact resistances as low as 7 × 10-7Ω.cm2have been obtained for contacts prepared by heat treating Zn/Pd/Au metallizations deposited on p-type epitaxial GaAs layers with an acceptor concentration of 1.5 × 1019cm-3. These contacts are reproducible, simple to fabricate, exhibit excellent adhesion, and have a uniformly smooth surface morphology.

Patent
Masaki Sato1, Kazuyoshi Shinada1
24 Dec 1985
TL;DR: In this paper, a method for manufacturing a CMOS type semiconductor device is shown, which includes the following steps: insulation layer is formed on the semiconductor substrate and the well region.
Abstract: A method for manufacturing a CMOS type semiconductor device is shown which includes the following steps. A first and a second conductive diffusion region are formed in a well region and a semiconductor substrate, respectively, and a gate electrode is formed thereon. An insulation layer is formed on the semiconductor substrate and the well region. A contact hole is opened by selectively removing the insulation layer corresponding to the first and the second conductive diffusion regions. At least one metal layer selected from a group consisting of metal and metal silicide having a high melting point is formed on an exposed surface of the first and the second conductive diffusion regions. The semiconductor substrate is heated to melt at least part of the insulation layer and form a tapered portion. A wiring layer is formed on the contact hole. This method prevents the contact resistance from increasing, the impurity of one region from diffusing into the other impurity regions, the impurity of the impurity regions from decreasing, and improves the reliability of the wiring layer by forming a tapered contact hole. These advantages permit high component density by miniaturizing the device.

Journal ArticleDOI
TL;DR: In this article, the effect of silicide side-walls within the silicon is discussed and corrections for this effect are presented, and the active dopant concentration profiles near the n + -SiTiSi 2, n +-SiPd 2 Si and p + −SiISi 2 interfaces were measured in order to derive the theoretical values of the contact resistivity and to compare these values with the experimental results.

Journal ArticleDOI
TL;DR: In this paper, the effect of a thixotropic synthetic lubricant, composed of a mixture of an oil and a wax, on the production of fretting corrosion at dissimilar metal interfaces under conditions of very mild vibration is evaluated.
Abstract: The early failure rate of socketed dual in-line package (DIP) devices that used dissimilar metal interfaces (Sn/Au and SnPb-solder/ Au) have been previously reported by the authors. These high resistance failures (both in field systems and in the laboratory) were attributed to the formation of tin oxide fretting corrosion at the device/socket interface. Lubrication of metal contacts has been shown to reduce or eliminate fretting corrosion in various contact configurations. In this study, the effect of a thixotropic synthetic lubricant, composed of a mixture of an oil and a wax, on the production of fretting corrosion at dissimilar metal interfaces under conditions of very mild vibration is evaluated. The static resistance behavior of these samples was studied for 18 months. The samples were then disassembled and the contact surfaces examined using scanning electron microscopic (SEM) and scanning Auger microprobe (SAM) techniques. The resistance data obtained indicated that all dissimilar metal interfaces failed repeatedly. Only the SnPb/SnPb and Au/Au interfaces showed no significant increases in resistance over the test period. Subsequent SEM and SAM investigations showed that all of the dissimilar interfaces failed due to build-up of tin oxide fretting corrosion resulting in high contact resistances (> 100 \Omega ). It was observed that lubrication was effective in reducing both the amount of and the rate of fretting corrosion, as well as dispersing the corrosion that formed. The greatest reduction of fretting corrosion occurred in the SnPb/SnPb systems such that no resistance failures occurred during the 18 month study.

Journal ArticleDOI
TL;DR: In this article, the authors present a brief review of the theory of contact resistance, the literature, measurement techniques, and of the transmission-line model (TLM) for analyzing contact-resistance data.
Abstract: The contact-resistance characteristic of silicon devices has been a subject of research and development since the early days of silicon integrated-circuit technology. The contact-chain losses suffered by very large scale integration (VLSI), however, have made the minimization of contact resistance a critical parameter due to the large number of contacts per circuit and due to the increase of contact resistance with decreasing contact size. This paper will present a brief review of the theory of contact resistance, the literature, measurement techniques, and of the transmission-line model (TLM) for analyzing contact-resistance data. Contact-resistance data pertaining to shallow high-conductivity contacts for VLSI will be presented as a function of the junction parameters (implant dose, etc.) and of the contact area for BF 2 and arsenic implants with aluminum-silicon metallization. Contact-resistance data for a sputtered molybdenum silicide contact barrier for boron and arsenic implants versus contact area will also be presented and compared to the aluminum-silicon control samples with a discussion regarding the uniformity of contacts to silicon.

Journal ArticleDOI
TL;DR: In this article, a highly automated contact resistance probe developed as part of a study of the contact properties of materials based on stoichiometric titanium nitride is described, and compared with selected TiN samples, noble metal, and non-noble metal contacts under conditions of accelerated environmental aging and wear.
Abstract: This paper describes a highly automated contact resistance probe developed as part of our study of the contact properties of materials based on stoichiometric titanium nitride. Comparative results are shown for selected TiN samples, noble metal, and non‐noble metal contacts under conditions of accelerated environmental aging and wear. Contact resistance and its temperature dependence as a function of H2O+O2 levels present during reactive sputtering are also presented.

Proceedings ArticleDOI
01 Jan 1985
TL;DR: In this article, a unified approach for the accurate extraction of specific contact resistivity (ρ c ) for ohmic contacts is presented, which accounts for the current flow, or crowding around the contact window.
Abstract: This paper presents a unified approach for the accurate extraction of specific contact resistivity (ρ c ) for ohmic contacts. Using 2-D simulations, which account for the current flow, or crowding around the contact window, we have analysed the resistance data obtained from the Cross Bridge Kelvin Resistor, the Contact End Resistor, and the Transmission Line Tap Resistor. For each particular structure, a universal set of curves is derived that allows accurate determination of ρ c , given the geometry of the structure. The values obtained for ρ c are independant of the test structure type, its geometry and the contact area. The data suggests that in the past researchers have overestimated ρ c , and that contact resistance will not limit device performance even with submicron design rules.

Journal ArticleDOI
TL;DR: In this article, the effect of the angle on constriction resistance and contact resistance for a point contact is analyzed theoretically by solving Laplace's equation subject to boundary conditions determined by a modeled morphology of the contact.
Abstract: It can generally be considered that an actual contact makes an angle with the extension of the contact surface because the tip of a contact element is convex in shape (macroscopically) and has surface roughness (microscopically). The effect of this angle on constriction resistance and contact resistance for a point contact is analyzed theoretically by solving Laplace’s equation subject to boundary conditions determined by a modeled morphology of the contact. The analysis leads to the modified formulas for the constriction resistance and the contact resistance, which show that the effect cannot always be neglected and that the contact model with space angle is useful.

Journal ArticleDOI
TL;DR: In this article, contact resistance measurements have been made during like-on-like reciprocating sliding of two commercial Fe-12% Cr alloys and an electrodeposited cobalt composite in air at high temperatures, when thick, mechanically stable, wear-protective oxides have been established on the metal surfaces.
Abstract: Contact resistance measurements have been made during like-on-like reciprocating sliding of two commercial Fe-12% Cr alloys and an electrodeposited cobalt composite in air at high temperatures, when thick, mechanically-stable, wear-protective oxides have been established on the metal surfaces. It has been shown that such measurements can provide information on the characteristics of the contacting oxide-oxide junctions and on the frictionally-induced temperature increases during sliding. The contact resistance is very dependent on ambient temperature and applied load, but much less dependent on sliding speed. Analysis indicates that the constriction resistance associated with the points of real contact between the oxide surfaces makes the major contribution to the measured contact resistance. The results are consistent with the oxide surfaces being deformed plastically during sliding and with relatively small increases in surface temperature. At a frequency of 500 double traversals per minute and load of 1.5 kg, a mean flash temperature rise of only 7 degrees C is indicated at an ambient temperature of 100 degrees C. A linear relationship ln(RcW)=(A/T)+B, where Rc is contact resistance, T is absolute temperature, W is load, A and B are constants, was found to hold for measurements taken between 300 degrees C and 600 degrees C. Analysis confirms this relationship and relates A and B to fundamental oxide parameters.

Journal ArticleDOI
TL;DR: In this paper, current crowding effects on Contact End Resistance (CER) test structures due to the finite diffusion overlap of the contact window are studied by experiment and numerical simulation, and accurate values of ρ c in the range of 5 × 10-8to 2 × 10 -5Ω cm2 are extracted using CER structures.
Abstract: Current crowding effects on Contact End Resistance (CER) test structures due to the finite diffusion overlap of the contact window are studied by experiment and numerical simulation. This finite overlap adds a parasitic resistance component not accounted for by the standard one-dimensional theory, and if uncorrected, this parasitic resistance may lead to gross overestimation of the true specific contact resistivity ρ c . The overestimate increases with increasing diffusion sheet resistance and large contact size. Excellent agreement between experiment and simulations has allowed this effect to be modeled. Accurate values of ρ c in the range of 5 × 10-8to 2 × 10-5Ω cm2are extracted using CER structures.

Journal ArticleDOI
Manjin J. Kim1, D.M. Brown, S.S. Cohen, P. A. Piacente, B. Gorowitz 
TL;DR: In this article, a molybdenum and titanium-tungsten double-layer contact metallization was developed for VLSI circuit applications, and the contact resistance was evaluated as a function of processing conditions and high-temperature annealing.
Abstract: A molybdenum and titanium-tungsten double-layer contact metallization was developed for VLSI circuit applications. A thin TiW contact layer produced good ohmic contact and thermal stability With n+silicon and p+silicon up to 650°C without spiking. Thick Mo over the TiW layer has high conductivity, good step coverage, low electromigration, and smooth surface morphology. Both metal layers are sequentially sputtered in one pumpdown. The pattern formation process, including the etching Of approximately 1-µm-size contacts and tightly pitched 1.5-µm-wide metal lines is described. The contact resistance was evaluated as a function of processing conditions and high-temperature annealing. The contact resistance values for n+silicon contacts were always less then 35 Ω . µm2and that for the p+silicon contact resistance was within a range of 35 to 85 Ω . µm2after annealing at 400°C. Annealing experiments showed that occasional larger values of p+contact rsistance could be reduced by annealing at 625°C. There was no junction leakage degradation for annealing temperatures below 600°C. The mechanism, and cause, of the contact resistance and leakage current change with sintering temperature was studied by means of X-ray, SIMS, TEM, and SEM.