scispace - formally typeset
Search or ask a question

Showing papers on "Mask inspection published in 2005"


BookDOI
07 Apr 2005
TL;DR: Nishi et al. as discussed by the authors presented an overview of Mask Making and its application in the field of optical microscopy, including the use of masks for extreme ultraviolet and ion projection.
Abstract: Foreword by Yoshio Nishi Preface, Syed Rizvi INTRODUCTION Introduction to Mask Making A.G. Zanzal MASK WRITING Data Preparation P.J.M. van Adrichem and C.K. Kalus Mask Writers: An Overview S. Babin E-Beam Mask Writers N. Saitou Laser Mask Writers C. Rydberg OPTICAL MASKS Optical masks: An Overview N. Yoshioka Conventional Optical Masks S.A. Rizvi Advanced Optical Masks W. Maurer and F. Schellenberg NGL MASKS NGL Masks: An Overview K.R. Kimmel and M. Lercel Masks for Electron Beam Projection Lithography H. Sano, S. Palmer, and M. Yamabe Masks for Extreme Ultraviolet Lithography P-Y. Yan Masks for Ion Projection Lithography S.A. Rizvi, F-M. Kamm, J. Butschke, F. Letzkus, and H. Loeschner Mask for Proximity X-Ray Lithography M. Oda and H. Yoshihara MASK PROCESSING, MATERIALS, AND PELLICLES Mask Substrate S.A. Rizvi Resists for Mask Making B. Rathsack, D. Medeiros, and C.G. Wilson Resist Charging and Heating M. Bai, D. Chu, and F. Pease Mask Processing S.A. Rizvi Mask Materials: Optical Properties V. Liberman Pellicles T. Yen, C.B. Wang, and R. Heuser MASK METROLOGY, INSPECTION, EVALUATION, AND REPAIRS Photomask Feature Metrology J. Potzick Optical Critical Dimension Metrology R.J. Hoobler Photomask Critical Dimension Metrology in the Scanning Electron Microscope M.T. Postek Geometrical Characterization of Mask Using SPM S. Muckenhirn and A. Meyyappan Metrology of Image Placement M.T. Takac Optical Thin Film Metrology for Photomask Applications E. Apak Phase Measurement Tool for PSM H. Kusunose Mask Inspection: Theories and Principle A. Rosenbusch and S. Hemar Tool for Inspecting Masks: Lasertec MD 2500 M. Yonezawa and T. Matsuyama Tool for Mask Image Evaluation A. Zibold Mask Repairs R. Lee MODELING AND SIMULATION Modeling and Simulation A. Erdmann INDEX

79 citations


Proceedings ArticleDOI
06 May 2005
TL;DR: In this article, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory, which can operate in scanning mode, when mask blanks are scanned for defects using 13.5nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light.
Abstract: To qualify the performance of non-actinic inspection tools, a novel EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron facility at Lawrence Berkeley National Laboratory. Similar to the older generation actinic mask inspection tool1, the new system can operate in scanning mode, when mask blanks are scanned for defects using 13.5-nm in-band radiation to identify and map all locations on the mask that scatter a significant amount of EUV light. By modifying and optimizing beamline optics (11.3.2 at ALS) and replacing K-B focusing mirrors with a high quality Schwarzschild illuminator, the new system achieves an order of magnitude improvement on in-band EUV flux density at the mask, enabling faster scanning speed and higher sensitivity to smaller defects. Moreover, the system can also operate in imaging mode, when it becomes a zone-plate-based full-field EUV microscope with spatial resolution better than 100 nm. The microscope utilizes an off-axis setup, making it possible to obtain bright field images over a field-of-view of 5x5 um2.

27 citations


Patent
16 Aug 2005
TL;DR: In this article, a laser illuminator for use in an inspection system, such as a semiconductor wafer inspection system or photomask inspection system is provided, where amplification, beam splitting, frequency and/or bandwidth conversion, peak power reduction, and q-switching or mode locking may be employed.
Abstract: A laser illuminator for use in an inspection system, such as a semiconductor wafer inspection system or photomask inspection system is provided. The gain medium in the illuminator comprises optical fiber, and amplification, beam splitting, frequency and/or bandwidth conversion, peak power reduction, and q-switching or mode locking may be employed. Certain constructs including doped fiber, gratings, saturable absorbers, and laser diodes are disclosed to provide enhanced illumination.

25 citations


Proceedings ArticleDOI
06 May 2005
TL;DR: In this article, a dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory (LBNL).
Abstract: The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.

21 citations


Journal ArticleDOI
TL;DR: In this paper, a mask defect inspection system using 13.5 nm light for extreme ultraviolet lithography (EUVL) was described, and the performance of the optical system, the focal position detection mechanism for image detection while scanning and the driving mechanism of the reference mirror for the Mirau interference was confirmed.
Abstract: This paper describes a mask defect inspection system using 13.5 nm light for extreme ultraviolet lithography (EUVL). The Schwarzschild optics which is employed as a microscope optics has a numerical aperture (NA) of 0.3 and a magnification of 30. Furthermore, it has a potential of detecting defects as small as 22 nm on a mask. In order to inspect defects with the phase change induced by swelling of the multilayer, a Mirau interferometer is employed. It is developed that the performance of the optical system, the focal position detection mechanism for image detection while scanning, and the driving mechanism of the reference mirror for the Mirau interference. Since the accuracy of the driving mechanism of the reference was found to be 0.1 nm, enough performance of the ring-shaped piezo actuator for interference measurement was confirmed. Moreover, examples of the mask inspection by this system are shown.

15 citations


Proceedings ArticleDOI
12 May 2005
TL;DR: In this paper, the authors provide an algorithm that allows achieving higher numerical accuracy by being able to use bitmaps with higher resolution for lens and illumination pupil at reasonable computational speed, which is also of interest for the simulation of scanning laser microscopy such as optical disks or mask inspection.
Abstract: The shrink roadmap of semiconductors results in tighter specification of the wafer structures. Besides technological advancement and tighter tolerances for mask and scanner technology, it also requires an improvement of the numerical- and modeling accuracy of lithography simulation and proximity correction software. The modeling of high NA polarization effects and reflections within the resist stack are taken into account by current simulation models. Tool vendors support modeling accuracy by characterizing optical parameters of the scanners by measurement of the lens aberrations and the illumination pupil. The goal of this paper is to provide an algorithm, which allows achieving higher numerical accuracy by being able to use bitmaps with higher resolution for lens and illumination pupil at reasonable computational speed. While the focus of this paper is on optical lithography, the method itself is also of interest for the simulation of scanning laser microscopy such as optical disks or mask inspection.

14 citations


Proceedings ArticleDOI
21 Oct 2005
TL;DR: In this paper, the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT) has been conducted and the results demonstrate that by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.
Abstract: As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

13 citations


Proceedings ArticleDOI
21 Oct 2005
TL;DR: The inspection modes that are available on the TeraScan platform are presented and the appropriate use cases for each of the modes are discussed, based on reticle type and the intended objectives of the inspection.
Abstract: Advanced wafer fabs are currently fabricating devices with 90nm and 65nm design rules using 193nm lithography. To meet the challenges at these sub-wavelength technology nodes, mask designers are using a variety of resolution enhancement techniques (RETs) in lithography which require new methods of processing, inspecting and qualifying photomasks. As a result, reticle inspection tools need to be capable of detecting smaller defects on ever tighter critical dimensions and background patterns that are considerably more complicated than before. To meet the challenges of current and future technology nodes, a variety of new inspection modes have been developed on the KLA-Tencor Deep UV TeraScan reticle inspection tool. These new inspection modes include Reflected light (Die-to-Die and Die-to-Database) modes, a Transmitted light Tritone (Die-to-Database) mode for inspecting Embedded Attenuated Phase Shift Masks (EAPSMs) with chrome in the inspection area, as well as a STARlight2 (SL2) mode for contamination detection. The SL2 inspection mode is the natural successor to the STARlight contamination detection algorithm on the previous generation of KLA-Tencor reticle inspection tools. Each of the inspection modes comes with its own set of inspectability and sensitivity capabilities and therefore the selection and/or optimization of a mode can depend upon a number of factors. In this paper we will present the inspection modes that are available on the TeraScan platform and discuss the appropriate use cases for each of the modes, based on reticle type and the intended objectives of the inspection.

12 citations


Proceedings ArticleDOI
28 Jun 2005
TL;DR: In this paper, an automated defect analysis software is described that combines and compares data from multiple inspections to provide critical process development data, which gives an easy path to using simulator based printability for disposition, and significant improvements in mask yield.
Abstract: The detection, classification and disposition of defects is an important function that commands significant resources in mask making. Current processes use manual evaluation of defects, which is slow, subject to errors, and provides sparse data for process improvement. The automated defect analysis software described here reads inspection reports from mask inspection tools, classifies each defect, and measures both its size and printability. It combines and compares data from multiple inspections to provide critical process development data. Data from 144 masks is presented showing that the system missed no critical defects found by operators. These inspections also demonstrated numerous occasions for improved classifications compared to that given by the operators. This capability gives improved disposition, an easy path to using simulator based printability for disposition, and significant improvements in mask yield.

11 citations


Proceedings ArticleDOI
Ted Liang1, Peter Sanchez1, Guojing Zhang1, Emily Y. Shu1, Rajesh Nagpal1, Alan R. Stivers1 
10 May 2005
TL;DR: In this paper, the authors describe their efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair.
Abstract: To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

11 citations


Proceedings ArticleDOI
21 Oct 2005
TL;DR: In this paper, IBM and KLA-Tencor developed a test mask methodology to investigate the inspectability limits of the 576 and 516 mask inspection systems, in a range of sizes.
Abstract: Semiconductor product designs are necessarily constrained by both the wafer and mask lithographic capabilities. When mask image sizes approach the exposure wavelength, optical and resist effects distort the printed images. Applying optical proximity correction (OPC) to design features on the mask compensates for diffraction effects. However, aggressive OPC introduces even smaller minimum features, adds notches and bulges, introduces sub-resolution assist features (SRAFs) and generally creates a more challenging mask design with respect to data handling, printing and inspection. Mask defect inspection is a critical part of the mask process, ensuring that the mask pattern matches the intended design. However, the inspection itself imposes constraints on mask patterns that can be inspected with high defect sensitivity but low nuisance defect counts. These additional restrictions are undesirable since they can reduce the effectiveness of the OPC. IBM and KLA-Tencor have developed a test mask methodology to investigate the inspectability limits of the 576 and 516 mask inspection systems. The test mask design contains a variety of rules or features that currently impose inspectability limits on the inspection tools, in a range of sizes. The design also incorporates many features essential for obtaining valid results, such as a user-friendly layout, multiple pattern orientations, and background patterns. The mask was built and inspected in IBM Burlington's mask house. Preliminary inspection results will be presented; they underscore the importance of understanding both the inspection tool and the mask process when restricting mask design rules.

Proceedings ArticleDOI
28 Jun 2005
TL;DR: This paper reduces the optical resolution, such that it can filter out the array pattern and study the resulting defect image, and allows using a simple threshold detector to find and classify defects.
Abstract: For leading mask technologies the mask inspection for finding critical defects is always a difficult task. With the introduction of chrome-less, high-transmission and alternating mask types, new absorber material and the possibility of quartz defects the defect inspection and -classification becomes even more challenging. To decide whether a defect is critical or a repair is successful, the Zeiss AIMS tool is used to classify defects. For conventional imaging the optical settings are usually chosen such that resolution is maximized, for example a dipole illumination is used for imaging a dense line-space array at an optimum contrast. In this paper we will do the opposite and reduce the optical resolution, such that we can filter out the array pattern and study the resulting defect image. This technique allows using a simple threshold detector to find and classify defects.

Patent
02 Jul 2005
TL;DR: In this article, a beam splitter with effective polarization fits in an illuminating beam path for generating different polarization conditions for illuminating radiation and/or in an imaging beampath for different polarization parts of imaging radiation.
Abstract: A microscope imaging system for emulating high-aperture-type imaging systems has an imaging lens (2), a detector and an evaluating device. A beam splitter (3) with effective polarization fits optionally in an illuminating beam path for generating different polarization conditions for illuminating radiation and/or in an imaging beam path for different polarization parts of imaging radiation. An independent claim is also included for a method for emulating high-aperture-type imaging systems, especially for inspecting masks, with an imaging lens, a detector and an evaluating device.

Proceedings ArticleDOI
28 Jun 2005
TL;DR: In this paper, a new generation of growing defects is introduced: crystals that grow on the half-tone (MoSi) film or on the chrome film, on the pattern side of the mask.
Abstract: Progressive mask defect problems such as crystal growth or haze are key yield limiters at DUV lithography, especially in 300mm fabs. With the high energy photons involved in DUV lithography and large wafer size requiring longer continuous exposure of masks, chances of photochemical reaction increases significantly on the masks. Most of the work published on this subject so far has been focused on defect growth on clear area (on the pattern surface) and on the back-glass of the mask. But there is a new generation of growing defects: crystals that grow on the half-tone (MoSi) film or on the chrome film, on the pattern side of the mask. It is believed that the formation mechanisms and rates are different for these new types of crystals. In light of this instability of masks in volume production, it becomes more important to understand the nature of such defects. The purpose of this investigation is to characterize the nature of these new defect growths and to understand the possible formation mechanisms involved in such problems.

Proceedings ArticleDOI
01 Jan 2005
TL;DR: In this paper, a high-resolution mask inspection platform using DUV wavelength has been reported, operated at inspection wavelength of 198.5 nm, which is nearly equal to the exposure wavelength of ArF laser exposure tool.
Abstract: A novel high-resolution mask inspection platform using DUV wavelength has been reported. It is operated at inspection wavelength of 198.5 nm. The wavelength is nearly equal to the exposure wavelength of ArF laser exposure tool.

Patent
Peter Dirksen1, Thomas Steffen1
02 Feb 2005
TL;DR: In this paper, an optical inspection of an object, comprising an optical imaging system (5) for generating an actual image of the real object, a calculation unit (12) for calculating an estimated image of a desired shape in respect of a known aberration coefficient, and an image analysis unit (13) for detecting differences between the actual image and the image calculated by the calculation unit.
Abstract: Apparatus for optical inspection of an object, comprising: an optical imaging system (5) for generating an actual image of the real object, a calculation unit (12) for calculating an estimated image of an object of desired shape in respect of a known aberration coefficient of the optical imaging system, an image analysis unit (13) for detecting differences between the actual image and the image calculated by the calculation unit (12).

Proceedings ArticleDOI
21 Oct 2005
TL;DR: In this paper, the authors describe true continuous-wave (CW), high-power, line-narrowed, deep-ultraviolet (DUV) light sources for the high-resolution metrology tools such as wafer inspection and mask inspection systems.
Abstract: We describe true continuous-wave (CW), high-power, line-narrowed, deep-ultraviolet (DUV) light sources for the high-resolution metrology tools such as wafer inspection and mask inspection systems. The 198.5-nm CW radiation with 300-mW power has also been achieved by sum-frequency mixing (SFM) of 1064-nm output from a single-frequency Yb 3+ fiber amplifier with the 244-nm radiation from a frequency-doubled argon-ion laser. The 266-nm CW DUV radiation with 5 W of maximum power has been generated by frequency doubling of 532-nm green laser output. Both sources utilize Brewster-cut CsLiB 6 O 10 (CLBO) crystal for efficient and stable DUV light generation.

Proceedings ArticleDOI
09 Nov 2005
TL;DR: This work introduces mask cost characterization (MCC) that quantifies OPC complexity, measured in terms of fracture count of the mask, for different OPC tolerances, a critical step in linking design and manufacturing.
Abstract: Increasing design complexity in sub-90nm designs results in increased mask complexity and cost. Resolution enhancement techniques (RET) such as assist feature addition, phase shifting (attenuated PSM) and aggressive optical proximity correction (OPC) help in preserving feature fidelity in silicon but increase mask complexity and cost. Data volume increase with rise in mask complexity is becoming prohibitive for manufacturing. Mask cost is determined by mask write time and mask inspection time, which are directly related to the complexity of features printed on the mask. Aggressive RET increase complexity by adding assist features and by modifying existing features. Passing design intent to OPC has been identified as a solution for reducing mask complexity and cost in several recent works 2,3,4 . The goal of design-aware OPC is to relax OPC tolerances of layout features to minimize mask cost, without sacrificing parametric yield. To convey optimal OPC tolerances for manufacturing, design optimization should drive OPC tolerance optimization using models of mask cost for devices and wires. Design optimization should be aware of impact of OPC correction levels on mask cost and performance of the design. This work introduces mask cost characterization (MCC) that quantifies OPC complexity, measured in terms of fracture count of the mask, for different OPC tolerances. MCC with different OPC tolerances is a critical step in linking design and manufacturing. In this paper, we present a MCC methodology that provides models of fracture count of standard cells and wire patterns for use in design optimization. MCC cannot be performed by designers as they do not have access to foundry OPC recipes and RET tools. To build a fracture count model, we perform OPC and fracturing on a limited set of standard cells and wire configurations with all tolerance combinations. Separately, we identify the characteristics of the layout that impact fracture count. Based on the fracture count (FC) data from OPC and mask data preparation runs, we build models of FC as function of OPC tolerances and layout parameters.

Patent
22 Nov 2005
TL;DR: In this paper, a pattern inspection apparatus includes an optical image acquiring unit that acquires optical image data of a target plate formed as a pattern, and a comparing unit that compares the optical image images and the first design image data with each other.
Abstract: A pattern inspection apparatus includes an optical image acquiring unit that acquires optical image data of a target plate formed as a pattern. The pattern inspection apparatus also includes a design image data generating unit that generates first design image data based on a first design pattern serving as a base of pattern formation of the target plate. The pattern inspection apparatus additionally includes a comparing unit that compares the optical image data and the first design image data with each other. Further, information of a second design pattern is input in parallel with information of the first design pattern to the pattern inspection apparatus. In the comparing unit, second design image data generated based on the second design pattern is further input, and the optical image data is compared with the second design image data in place of the first design image data.

Proceedings ArticleDOI
21 Oct 2005
TL;DR: In this article, the authors measured some aspects of line edge roughness using line-space patterns and DUV light in an inspection tool and observed relative edge placement errors of < 1 nm.
Abstract: Line edges on masks are not perfectly smooth and straight due to writer shot placement errors and randomness in photo-resist processes. This mask roughness may affect local CD defects and CD non-uniformity on the printed wafer. We are able to measure some aspects of line edge roughness using line-space patterns and DUV light in an inspection tool. Analyzing inspection images can make visible both edge placement errors with periodic character (writer generated) and more-random, higher-spatial frequency variations (photo-resist process generated). Our technique observes relative edge placement errors of <1 nm. For example, on one mask the periodic peak-to-peak writer errors are 4 nm, the random edge noise has a standard deviation of about 1.3 nm, and there are ~7 nm steps in the edge position, about one per 200 micron mask field. These values are affected by the inspection tool lateral resolution and thus are actually higher than these values. However, this method is useful in monitoring mask relative edge quality.

Proceedings ArticleDOI
Kaustuve Bhattacharyya1, Mark D. Eickhoff1, M. Lang1, M. Ma, S. Pas 
11 Apr 2005
TL;DR: Bhattacharyya et al. as mentioned in this paper developed a realistic mask re-qualification frequency model based on a large volume of data from an advanced logic fab. Statistical methods are used to analyze mask inspection and product data, which are combined in a stochastic model.
Abstract: DUV lithography has introduced a progressive mask defect growth problem widely known as crystal growth or haze. Even if the incoming mask quality is good, there is no guarantee that the mask will remain clean during its production usage in the wafer fab. These progressive defects must be caught in advance during production in the fabs. The ideal reticle quality' control goal should be to detect any nascent progressive defects before they become yield limiting. So, a high-resolution mask inspection is absolutely needed, but the big question is: "how often do fabs need to re-inspect their masks"? Previous work towards finding a cost effective mask re-qualification frequency (V. Samek et al., September 8-10, 1999), was done prior to the above mentioned progressive defect problem that industry started to see at a much higher rate during just the last few years. Other related recent work was done 2004 BACUS conference which is dedicated to DRAM fab data (K. Bhattacharyya et al., 2004). In this paper a realistic mask re-qualification frequency model has been developed based on a large volume of data from an advanced logic fab. This work will compliment previous work in this area done with the data from a DRAM fab (K. Bhattacharyya et al., 2004). Statistical methods are used to analyze mask inspection and product data, which are combined in a stochastic model

Proceedings ArticleDOI
06 May 2005
TL;DR: In this paper, a method of modeling phase defect for application of RCWA is provided, which transforms the multilayer structure deformed by defect into straight multillayer structure with inhomogeneous dielectric constant.
Abstract: Rigorous coupled-wave analysis(RCWA) is applied to computing near-field of mask scattered by patterned absorber and defects buried in Mo/Si multilayer. Especially, a method of modeling phase defect for application of RCWA is provided, which transforms the multilayer structure deformed by defect into straight multilayer structure with inhomogeneous dielectric constant. This mask near-field is used to get the aerial image as well as mask inspection image of confocal microscope. Using these simulation methods, printability of both phase and amplitude defect are investigated over various size of defect. This study shows that the change in critical dimension(CD) of line and space pattern increases linearly with defect height of phase defect, while increases nonlinearly with that of amplitude defect. A modeling of confocal microscopy is also shown with an example of actinic inspection simulation.

Proceedings ArticleDOI
10 May 2005
TL;DR: In this article, an actinic Aerial Image Metrology System (AIMS) revealed a 1.5 mm region on the mask with up to 59% transmission reduction at 193 nm.
Abstract: For years there has been a mismatch between the photomask inspection wavelength and the usage conditions. While the non-actinic inspection has been a source for concern, there has been essentially no evidence that a defect "escaped" the mask production process due to the inspection mismatch. This paper will describe the discovery of one such defect, as well as the diagnostic and inspection techniques used to identify the location, analyze the composition, and determine the source of the printed wafer defect. Conventional mask inspection techniques revealed no defects, however an actinic Aerial Image Metrology System (AIMS) revealed a 1.5 mm region on the mask with up to 59% transmission reduction at 193 nm. Further diagnostics demonstrated a strong wavelength dependence which accounted for the near invisibility of the defect at I line (365 nm) or even DUV (248 nm) wavelengths, which had 0% and 5% respective transmission reductions. Using some creative imaging techniques via AIMS tool and modeling, the defect was deduced to have a three dimensional Gaussian absorption character, with total width approximately 1.5 mm. Several non-destructive diagnostic techniques were developed to determine the composition and location of the defect within the substrate. These results will be described in addition to identifying methods for ensuring product quality in the absence of actinic inspection.

Patent
31 Aug 2005
TL;DR: In this paper, the first and second shapes representing DNIRs along with any single contiguous DNIR are used to inspect the mask for unintentional defects while avoiding intentional defects, and if the violated rule is corrected for by generating a single contiguous DLIR by overlapping the overlapping shapes.
Abstract: Methods, systems, program storage devices and computer program products for mask inspection that automate the detection and placement of do not inspect regions (“DNIR”) for intentionally induced defects on masks. A location of an intentional defect is identified on a mask, and then logic relating to this location is translated into a shape that represents a DNIR for the intentional defect. A second shape representing another DNIR of the mask is provided. It is then determined if the first and second shapes for DNIRs violate a processing rule of the inspection tool, and if so, the violated rule is corrected for by generating a single contiguous DNIR by overlapping the first and second shapes. The inspection tool then utilizes the first and second shapes representing DNIRs, along with any single contiguous DNIRs, to inspect the mask for unintentional defects while avoiding intentional defects.

Patent
31 Mar 2005
TL;DR: In this paper, a mask is held by electrostatic adsorption to the mask absorption surface 103a on the pattern formation surface 10a side surface 50a of a mask holding portion 50.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection method and the like which can anticipate and correct accurately position of each subfield in the state that a mask is set in an aligner and improve exposure precision in the aligner. SOLUTION: A holding device 100 of the inspection apparatus has a chuck 103, and a mask absorption surface 103a is prepared along the internal periphery of the lower surface of the chuck 103. A mask 10 is held by electrostatic adsorption to the mask absorption surface 103a on the pattern formation surface 10a side surface 50a of a mask holding portion 50. The mask 10 is held by the same surface 50a to the holding device 100 of the mask inspection apparatus and the holding device of the alinger. In the case of inspection, the mask 10 is held in the state of direction which is inverse in the gravitational force direction to the mask holding direction in the aligner. Prediction computation of error of position coordinate of a pattern surface which is caused by flexure by gravity of the mask is carried out. By correcting the error, the position coordinate of the pattern in the held state that it is held to the aligner can be known almost accurately. COPYRIGHT: (C)2005,JPO&NCIPI

Patent
26 Sep 2005
TL;DR: A mask inspection apparatus includes an electron gun for generating an electron beam, an exposure mask for shaping the electron beam into a predetermined cross-sectional shape, and means for scanning and transmitting part of the shaped electron beam as discussed by the authors.
Abstract: A mask inspection apparatus includes: an electron gun for generating an electron beam; an exposure mask for shaping the electron beam into a predetermined cross-sectional shape; means for scanning the electron beam shaped by the exposure mask; means for selecting and transmitting part of the shaped electron beam, which selecting means includes a thin film having a small transmission aperture transmitting the electron beam scanned by the scanning means and includes a thick substrate having an opening larger than the small transmission aperture and a thickness greater than that of the thin film; and means for detecting the electron beam passed through the selecting means and outputting a current signal. The detecting means includes: a reflective body for reflecting the electron beam selected by the selecting means; and a detector for detecting the electron beam reflected by the reflective body.

Patent
14 Jul 2005
TL;DR: In this paper, a mask inspection method for mask pattern inspection is proposed, where an objective pattern for inspection is inspected by imaging the objective pattern and comparing the obtained objective data with reference pattern data.
Abstract: PROBLEM TO BE SOLVED: To provide a mask inspection method for performing rapid pattern inspection by suppressing detection of a pseudo defect included in a mask pattern and reliably detecting a real defect. SOLUTION: In the mask inspection apparatus 10, an objective pattern for inspection is inspected by imaging the objective pattern and comparing the obtained objective data with reference pattern data. The mask inspection apparatus 10 is equipped with: an inspection information generating unit 12 to generate inspection information including inspection algorithm and inspection sensitivity in the reference pattern data based on wafer simulation; a converting unit 13 to add the inspection information to reference figure data to generate reference figure data with inspection information; and a defect decision unit 16 to compare the reference figure data with the objective pattern data in each pixel based on the inspection information added to the reference figure data with inspection information so as to decide whether the object pattern is acceptable or not. COPYRIGHT: (C)2005,JPO&NCIPI

Proceedings ArticleDOI
21 Oct 2005
TL;DR: This paper reports the closing work on reticle inspection capability development for the 65nm-node process technology development cycle for a full-volume production ramp.
Abstract: Silicon Technology Development for the ITRS 65nm-node is in the final stage of an intense 2-year cycle with the full-entitlement technology qualification by the end of 2005. Accordingly, reticle technology development in support of the 65nm-node has advanced a great deal since the initial efforts began several years ago. One of the most challenging aspects of 65nm-node mask technology development is the mask inspection, which is also the main cost-driver for the 65nm-node reticle technology. As a result, controlling 65nm-node reticle cost via leveraging advanced mask inspection technologies has become a leading factor in enabling prolonged success of the 65-nm node technology for years to come. With this paper, we report our closing work on reticle inspection capability development for the 65nm-node process technology development cycle for a full-volume production ramp.

Proceedings ArticleDOI
01 Jan 2005
TL;DR: Tezuka et al. as discussed by the authors developed an atwavelength dark field inspection tool for mask blanks using 20/spl times/ Schwarzschild imaging optics and a backside-illuminated CCD, and demonstrated the detection of multilayer defects accurate to 70nm in width and 2nm in height.
Abstract: Extreme ultraviolet lithography (EUVL) is one of the promising candidates among several lithography options for hp45nm node and beyond However, fabrication and qualification of defect-free multilayer mask blanks are critical challenges for the implementation of EUVL MTRAI developed an at-wavelength dark-field inspection tool for mask blanks using 20/spl times/ Schwarzschild imaging optics and a backside-illuminated CCD, and demonstrated the detection of multilayer defects accurate to 70nm in width and 2nm in height (Tezuka et al, 2004) We are continuously characterizing the tool aiming at the design of a prototype that can inspect the whole area of a mask blank in two hours

Proceedings ArticleDOI
12 May 2005
TL;DR: A chrome-less phase shift mask for the 70nm technology was designed and manufactured in this paper, which contains lines and spaces including programmed defects, and each defect was characterized with respect to the critical dimension (CD) variation on wafer, defect size, aerial image deviation, as well as inspection capture rate.
Abstract: A chrome-less phase-shift mask for the 70nm technology was designed and manufactured. The mask contains “lines and spaces” including programmed defects. Each defect was characterized with respect to the critical dimension (CD) variation on wafer, defect size, aerial image deviation, as well as inspection capture rate. It was found that defects with an AIMS intensity deviation of above 9 % are to be considered critical. The corresponding critical defect size is dependent on the defect type. All lithographically significant mask defects were found reliably using a KLA 576 inspection tool.