scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 1995"


Proceedings ArticleDOI
26 May 1995
TL;DR: In this paper, a mask correction algorithm (OPTIMASK) has been designed and implemented, which is based on the lithographic notion that a mask has to print throughout its defocus budget, taking into account multiple defocus planes.
Abstract: A mask correction algorithm (OPTIMASK) has been designed and implemented. Its main ingredients are optical proximity correction (OPC) and optical design rule checker (ODRC). The algorithm is based on the lithographic notion that a mask has to print throughout its defocus budget, taking into account multiple defocus planes. In each defocus plane the aerial image is computed using FAIM, and the design failures are reported via ODRC. The mask correction is subjected to physical restrictions that do not allow any feature couplings to occur. The union of the failures at all defocus values determines the first step taken in correcting the mask. Then a (constrained) Newton optimization scheme is applied to optimize line shrinkage, linewidth control, and corner rounding errors. All the tools needed to optimize a specific layer within a particular cell and return the optimized layer to the original mask file have been implemented. Several examples will be shown.

89 citations


Patent
23 May 1995
TL;DR: In this paper, the use of a phase shifter which does not resolve under an optical projection system shields a large size area against an irradiated light, thereby allowing the formation of fine, intricate patterns suitable for use in LSIs.
Abstract: A photomask used by photolithography and a process for producing same which allows a single exposure to make a photomask, thereby simplifying the photomask making process, and facilitating the inspection and correction of photomasks. In addition, the phase shifter using a slanting pattern prevents a pattern from being formed outside a predetermined area. The use of a phase shifter which does not resolve under an optical projection system shields a large size area against an irradiated light, thereby allowing the formation of fine, intricate patterns suitable for use in LSIs.

77 citations


Patent
01 Jun 1995
TL;DR: In this article, a first sheet of photomask is used when a gate electrode and a gate bus line are formed, a second sheet of mask is used for patterning a semiconductor film which becomes an active layer of a transistor on the gate electrode, a third sheet of pixel electrode, source electrode, drain electrodes, a drain bus line, and a drain board portion are removed, thereby to form thin film transistors arranged in a matrix form.
Abstract: A first sheet of photomask is used when a gate electrode and a gate bus line are formed, a second sheet of photomask is used when patterning is applied to a semiconductor film which becomes an active layer of a transistor on the gate electrode, a third sheet of photomask is used when a pixel electrode, a source electrode, a drain electrode, a drain bus line and a drain bus terminal portion are formed, and a fourth sheet of photomask is used when a film on the drain bus terminal portion, the gate bus terminal portion and pixel portion is removed, thereby to form thin film transistors arranged in a matrix form.

73 citations


Proceedings ArticleDOI
06 Oct 1995
TL;DR: In this paper, the impact of substrate reflectivity and photoresist contrast on defect printability has been determined using full-scale 3D simulation, and the OPC corrected layouts were studied with a variety of defect types, sizes, and locations.
Abstract: Optical lithography will continue to be a leading patterning technology for 256 Mb and 1 GB DRAM production. As the device size diminishes, all the links of the technological chain must be significantly improved. Photomask technology then becomes one of the critical issues for the semiconductor industry. It is already admitted that only a combination of PSM technology coupled with OPC and state-of-the-art illumination schemes will allow the printing of 0.18 micrometer patterns using optical lithography. It has been shown that new patterning techniques may significantly degenerate mask defect tolerance. This will certainly require a new look at the mask defects detection and classification. A new 'process window' concept proposed by KLA has been applied to the mask defects printability study. Both conventional (chrome) and phase shifted (half-tone) masks have been examined. OPC corrected layouts were studied with a variety of defect types, sizes, and locations. 'Ideal' vs 'real' (corner rounding) reticles were tested. In addition, the impact of substrate reflectivity and photoresist contrast on defect printability has been determined using full scale 3D simulation. We found that implementing the OPC technique may produce new types of defects, and therefore requires a new defect classification.

59 citations


Proceedings ArticleDOI
03 Jul 1995
TL;DR: In this article, a phase shifting mask was proposed to improve the resolution of photolithography by using a two-layer structure consisting of an ordinary transmission pattern substrate and a phase shift pattern substrate.
Abstract: A new phase shifting mask technology that will remarkable improve the resolution of photolithography is proposed. This new phase shifting mask has a two layer structure, which consists of a ordinary transmission pattern substrate and a phase shifting pattern substrate. These two substrates are fabricated independently. Then, two substrates are overlapped with each other. The imaging plane of the projection lens using this phase shifting mask is shifted by a small amount to the projection lens. However, this mask is very little spherical aberration. In addition, particles on the back surface of the transmission pattern substrate and the phase shifting pattern substrate are prevented from being transferred. Then, a quarter micron resist pattern can be obtained even by an i-line stepper with a resolution capability of 0.45 micrometers . This new phase shifting mask is an extremely attractive tool for quarter micron photolithography.© (1995) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

44 citations


Patent
28 Jun 1995
TL;DR: In this paper, a photomask is used for printing a mask pattern by projection optics, in which a main pattern formed of a transparent area is provided in a semitransparent area consisting of a semi-transparent film and a phase shifter, and the phase angles of light beams passing through respective areas are different from each other substantially by 180°.
Abstract: A photomask used for printing a mask pattern by projection optics, in which a main pattern formed of a transparent area is provided in a semitransparent area formed of a semitransparent film and a phase shifter, and the phase angles of light beams passing through respective areas are different from each other substantially by 180°. The photomask is intended to prevent a reduction in a production yield due to a resolution failure of the photomask, and to prevent generation of an unnecessary projected image. In this photomask, a transparent auxiliary pattern having the same phase angle of light as that of the transparent area is disposed around a main pattern formed of the transparent area, and a distance D between the center or a desired center line of the main pattern and that of the auxiliary pattern satisfies the relationship of D=bλ/NAm , where NAm is a mask-side numerical aperture of a projection lens, λ is a wavelength of exposure light, and is a coefficient in the range of 1.35

38 citations


Patent
05 Oct 1995
TL;DR: In this paper, a photoresist is exposed to light from behind a substrate by using as photomask a wiring electrodes 2 and 4 and a switching element 8 which are individually composed of an opaque member.
Abstract: A photoresist 10 is exposed to light from behind a substrate by using as photomask a wiring electrodes 2 and 4 and a switching element 8 which are individually composed of an opaque member, whereby a passivation layer 9 for the switching element 8 is patterned. By virtue of this method, a photomask becomes unnecessary and jogs of the passivation layer 9 can be formed outside the transparent pixel electrode 7. Therefore, an unsatisfactory orientation of a liquid crystal can be made invisible without enlarging the black matrix of a counter substrate. Furthermore, since a passivation layer can be removed in portions not requiring the passivation layer, image-sticking can be reduced and the quality of displayed picture can be greatly improved. The present invention makes it possible to reduce the number of photomasks used for production of an actively addressing substrate and improve the picture quality of a liquid crystal display.

32 citations


Patent
15 Aug 1995
TL;DR: In this article, the phase-shifting photomask blank is dry-etched through a patterned photoresist film into a desired circuit pattern, and transversely different rates of side etching of the phaseshifting film are substantially equalized due to the reduced rate of side-etching resulting from the transversely central composition.
Abstract: A phase-shifting photomask blank has a transparent substrate, a phase-shifting film deposited on the transparent substrate, the phase-shifting film including a transversely central composition which results in a reduced rate of side etching, and a patterned photoresist film masking the phase-shifting film. When the phase-shifting film is dry-etched through the patterned photoresist film into a desired circuit pattern, transversely different rates of side etching of the phase-shifting film are substantially equalized due to the reduced rate of side etching resulting from the transversely central composition. The circuit pattern includes openings defined by removal of the phase-shifting film and shifters left between the openings. The shifters have respective side surfaces free of steps and extending substantially perpendicularly from the transparent substrate. If the phase-shifting film comprises a film of molybdenum silicide oxide nitride deposited by sputtering, then the proportion of a nitrogen mono oxide gas in a sputtering gas is adjusted to control the transversely central composition of the phase-shifting film.

29 citations


Patent
Joseph P. Kirk1, Ronald M. Martino1
24 May 1995
TL;DR: In this paper, a spinning diffraction filter is placed in a stepper so as to provide annular illumination on a time averaged basis, which can be used for resolution enhancement in an easily manufacturable and flexible way and without significant loss of light intensity.
Abstract: Uniform illumination of a photomask in optical lithography is achieved with annular illumination of the conjugate pupil plane in a lithography tool. A spinning diffraction filter is placed in a stepper so as to provide annular illumination on a time averaged basis. This approach provides annular illumination for resolution enhancement in an easily manufacturable and flexible way and without significant loss of light intensity. No illuminator redesign in the photolithographic exposure tool is required.

29 citations


Patent
16 Nov 1995
TL;DR: In this article, an attenuating phase shifting rim type photomask was proposed for projection type lithographic apparatus, which is formed by exposing a layer of negative photoresist through a second surface of a transparent mask substrate having a patterned layer of attenuated phase shifting material formed on a first surface of the mask substrate.
Abstract: This invention provides a method of forming an attenuating phase shifting rim type photomask and an attenuating phase shifting rim type photomask for use in projection type lithographic apparatus. The photomask is formed by exposing a layer of negative photoresist through a second surface of a transparent mask substrate having a patterned layer of attenuating phase shifting material formed on a first surface of the transparent mask substrate. The exposed and developed photoresist forms a pedestal with sloping sides. A layer of opaque material is vertically anisotropically deposited on the top of the pedestal and that part of the patterned layer of attenuating phase shifting material not shaded by the pedestal. The pedestal and opaque material formed on the top of the pedestal is then removed to complete the mask.

22 citations


Patent
07 Mar 1995
TL;DR: In this paper, a photomask is fabricated by forming a scribe line at the four sides of a product die pattern and forming vernier patterns at four corners, which are formed of a regular square area and a regular band-shaped area.
Abstract: A photomask is fabricated by forming a scribe line at the four sides of a product die pattern and forming vernier patterns at four corners. The vernier pattern is formed of a regular square area and a regular square band-shaped area. A reticle rotation error of an exposer, X and Y-axis stepping error, a bending error of the lens and a pattern error formed on the wafer due to the fabricating error of the photomask can be found by developing the overlapped vernier patterns which are exposed several times using the photomask.

Patent
22 Sep 1995
TL;DR: In this paper, a pellicle membrane was used to protect a photomask during photolithography, with a light source being directed toward the mask and the adhesive layers not degraded by the light source.
Abstract: A protective mask (10) is provided for use with a pellicle (8) which is mounted to a photomask (30) during photolithography, with a light source being directed toward the photomask (30). The pellicle (8) includes a pellicle membrane (12) mounted to a pellicle frame (16) by a first adhesive layer (18) and with the pellicle frame (16) being mounted to the photomask (30) by a second adhesive layer (19). The protective mask (10) is fabricated of an opaque material and is positioned between the light source and the two adhesive layers (18, 19) to shield them from the light source so that the adhesive layers (18, 19) are not degraded by the light source.

Patent
Katsumi Kurematsu1
07 Sep 1995
TL;DR: In this article, a photomask of a liquid crystal display panel is formed by photolithographic exposure of a photosensitive resin layer through micro-lenses, and the apertures thereof are in complete alignment with condensed light through the microlenses.
Abstract: A photomask (5) of a liquid crystal display panel is formed by photolithographic exposure of a photosensitive resin layer through micro-lenses (3), and the apertures thereof are in complete alignment with condensed light through the micro-lenses, so that unnecessary light, such as strayed light, can be effectively masked. The liquid crystal display panel thus formed is provided with an increased effective aperture rate and suitable for a clearer image display.

Patent
31 Jan 1995
TL;DR: In this paper, a method of manufacturing a photomask for the production of dual depth features on substrates, and the photomasks so manufactured, is described, which is comprised of the steps of: (1) coating a substrate which transmits at least two selected wavelengths with: a) an optical filter material which prevents the transmission of at least one of the wavelengths, b) an opaque masking material, and c) a dual tone photoresist; (2) using a single mastering tool to selectively expose areas of the coated substrate to the selected wavelengths;
Abstract: A method of manufacturing a photomask for the production of dual depth features on substrates, and the photomask so manufactured, wherein the method of manufacturing the photomask is comprised of the steps of: (1) coating a substrate which transmits at least two selected wavelengths with: a) an optical filter material which prevents the transmission of at least one of the wavelengths, b) an opaque masking material, and c) a dual tone photoresist; (2) using a single mastering tool to selectively expose areas of the coated substrate to one of the wavelengths; (3) developing the photoresist; (4) etching the exposed masking material and optical filter material; (5) exposing the remaining coated substrate; (6) developing the remaining photoresist; (7) etching the exposed surface; and (8) stripping away the remaining photoresist.

Patent
24 Mar 1995
TL;DR: In this paper, a phase shift mask which satisfies optical characteristics and chemical resistance, has high pattern precision, and develops no pattern crack was provided. But, the phase shift masks were made with a single composition, and the side surfaces of shifter parts were raised almost vertically from transparent bases.
Abstract: PURPOSE: To provide a phase shift mask which satisfies optical characteristics and chemical resistance, has high pattern precision, and develops no pattern crack. CONSTITUTION: When phase shift films 10, 40 for shifting the phase of exposure light are formed, phase shift photomask blanks are formed with about the thickness directional center being set to a composition having a slow side etching speed S when the films are formed with a single composition, so that the difference in the side etching quantity differed in the thickness direction of the phase shift films 10, 40 is minimized, and when phase shift photomasks 35, 39 are formed by dry etching, the side surfaces of shifter parts 7, 17 are raised almost vertically from transparent bases 5, 15. The regulation of adding ratio of NO gas at sputtering suffices for the use of a molybdenum silicide oxide nitride film as the phase shift film.

Proceedings ArticleDOI
26 May 1995
TL;DR: In this article, the authors investigated the nonlinear response of wafer resist critical dimension (CD) at different pitches to the mask CD and found that for certain resist, opposite mask biases are required to achieve CD linear response and zero isofocal bias.
Abstract: In this paper, wafer level experimental results on the characteristics of a low-k1 submicron lithography processes using binary mask and deep ultraviolet (DUV) wavelength (248 nm) printing tool will be discussed. In the study, we investigated the nonlinear response of wafer resist critical dimension (CD) at different pitches to the mask CD. The CD nonlinearity is due to the combination of relatively poor optical aerial image quality at low-k1 imaging and resist processes. Operating a lithographic process at this nonlinear region presents an issue since only limited process windows are available. In addition, it requires both proximity correction for larger features on the mask and tighter mask CD control. The later requirement, in practice, is rather difficult to achieve with current mask technology. In order to avoid operating low-k1 lithography processes at CD nonlinear region, improvement of aerial image quality is obviously needed. Although aerial image quality improvement can be achieved with different advanced lithographic enhancement techniques such as phase shift masks and off- axis illumination, there are different process issues related to each of the techniques as well. With conventional illumination source and binary mask, one of the option left to enhance aerial image quality is mask biasing. Our experimental results show that by positively biasing the mask, i.e., mask chrome CD line dimension is larger than targeted resist CD, CD nonlinearity for low-k1 processes can be improved. For low- k1 processes, the isofocal bias of different feature sizes (different k1 factor) was also found to be different. A strong dependence of isofocal bias on pitch was observed. Again, mask biasing can help to shift the isofocal CD of critical dimensions to the target CD. However, in our study, we found that for certain resist, opposite mask biases are required to achieve CD linear response and zero isofocal bias. In this case, tradeoffs between mask CD control, proximity effect, and process window need to be considered.© (1995) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
16 Aug 1995
TL;DR: In this paper, the authors proposed a projection exposure method of irradiating illumination light from an illumination light source onto a transparent substrate with a periodic mask pattern, and projecting the resultant transmitted light from the photomask on a wafer through a projection system, thereby forming an optical image of the mask pattern on the wafer.
Abstract: In a projection exposure method of irradiating illumination light from an illumination light source onto a photomask made of a transparent substrate with a periodic mask pattern, and projecting the resultant transmitted light from the photomask on a wafer through a projection system, thereby forming an optical image of the mask pattern on the wafer, projection/exposure with respect to the wafer is performed by a main exposure operation and a sub-exposure operation to be performed after the main exposure operation. The main exposure operation is performed by irradiating the photomask with the illumination light whose coherency becomes 0.3 or less when a period L of the mask pattern on the image plane of the optical system is not more than a value obtained by doubling an exposure wavelength λ of the illumination light source, and dividing the resultant value by a numerical aperture of the projection system. The sub-exposure operation is performed by irradiating the photomask with the illumination light having a coherency of 0.3 or less at at least one of positions separated from a position in the main exposure operation in the optical axis direction by Δ=λ/[2{1-(1-λ2 /L2)1/2 }], thereby forming a periodic pattern having a period 1/2 the period L on the wafer.

Patent
30 Oct 1995
TL;DR: In this paper, a positive type resist is applied on laminated films of a semiconductor layer 24 and a channel protective film 25 and is subjected to first exposure of small exposure energy by using a first photomask 27.
Abstract: PROBLEM TO BE SOLVED: To provide a method capable of decreasing photolithographic stages. SOLUTION: A positive type resist 26 is applied on laminated films of a semiconductor layer 24 and a channel protective film 25 and is subjected to first exposure of small exposure energy by using a first photomask 27. Next, the resist is subjected to second exposure of large exposure energy using a second photomask 28. The integral formation of the two patterns varying in size is possible. The parts of the resist patterns where width is large are removed by a plasma treatment, by which the use of the two patterns as the mask for etching is possible. The photolithographic stages are, therefore, decreased and the productivity is enhanced. COPYRIGHT: (C)1997,JPO

Journal ArticleDOI
TL;DR: In this paper, the possibility of fabricating focusators of infrared laser radiation, based on photolithographic technology, was analyzed, and the realizability of focusator fabrication was based on the possibility to produce a set of binary photomasks and achieving the necessary depth of etching the substrate of the pattern while forming the microrelief.
Abstract: The possibility of fabricating focusators of infrared laser radiation, based on photolithographic technology, is analysed. The realizability of focusator fabrication is based on the possibility of producing a set of binary photomasks and achieving the necessary depth of etching the substrate of the pattern while forming the microrelief. The possibility of producing these photomasks is evaluated with regard to the focusator's extremal zone width and the appearance of photomasks displayed on the screen. Forming of step-by-step microrelief is achieved using plasma etching or wet etching and depends on the minimum element's dimension and the etching depth. Examples of the microrelief's profiles, of focusators fabricated using photolithography, and the result of the transformation of a focusator's radiation into a straight line segment, are discussed.

Proceedings ArticleDOI
19 May 1995
TL;DR: The EL-4 tool as discussed by the authors is a 75kV shaped beam lithography system utilizing a Variable Axis Immersion Lens (VAIL) designed to produce 1X or NX masks for 0.25 micrometers ground rules.
Abstract: IBM's latest electron beam mask maker, EL-4, is online at IBM's Advanced Mask Facility (AMF) in Essex Junction, Vermont. The EL-4 system is a 75KV shaped beam lithography system utilizing a Variable Axis Immersion Lens (VAIL) designed to produce 1X or NX masks for 0.25 micrometers lithography ground rules, extendable to 0.13 micrometers . It is currently producing NIST-style X-ray membrane masks with pattern sizes over 30 X 30 mm2. This paper will give a brief description of the EL-4 tool and its operating features, specific measures used to enhance tool stability and accuracy, and measurement data from masks recently produced on the tool.

Patent
17 Jul 1995
TL;DR: In this article, the authors propose a correcting method which deforms the mask pattern of a photomask used for a photolithography process so that a transfer image close to a desired design pattern can be obtained.
Abstract: PROBLEM TO BE SOLVED: To provide a means which produces a high-performance device at high yield by calculating a mask pattern with which a regist pattern close to a design pattern can be obtained. SOLUTION: This is a correcting method which deforms the mask pattern of a photomask used for a photolithography process so that a transfer image close to a desired design pattern can be obtained. Plural evaluation points are arranged along the outer circumference of the desired design pattern and the transfer image obtained when exposure is performed under specific exposure conditions is simulated by using the photomask of the design pattern given the evaluation points, and differences between the simulated transfer image and design pattern are compared for every evaluation point and the design pattern is deformed depending upon the differences compared for every evaluation point so that the differences become small. In an evaluation point arranging process, evaluation points are arranged at the corner parts of the desired design pattern and evaluation points are further arranged at the side parts of the pattern at specified intervals.

Patent
09 Mar 1995
TL;DR: In this article, a photomask defining lateral and axial geometry of the grating fields of the optoelectronic compound is provided, particularly predetermined curved grating boundaries between regions of the component having the grouting fields and grating free regions.
Abstract: The process for production of an optoelectronic component having a predetermined coupling coefficient distribution and a predetermined phase shift distribution includes providing a photomask defining lateral and axial geometry of the grating fields of the optoelectronic compound, particularly predetermined curved grating boundaries between regions of the optoelectronic component having the grating fields and grating-free regions; performing a spin-on deposition of a photoresist on the semiconductor layers of a semiconductor substrate; structuring the semiconductor layers so that a DFB or DBR grating structure is located between the spin-on photoresist and the semiconductor substrate; after performing the spin-on deposition of the photoresist, exposing the photoresist using the provided photomask to form the optoelectronic component in the semiconductor substrate; and after the exposing of the photoresist using the photomask, etching surfaces of the optoelectronic component which are to be free of the grating fields to remove material to a minimum depth reaching a horizontal xz-plane corresponding to deepest points in the grating trenches of the grating fields.

Proceedings ArticleDOI
26 May 1995
TL;DR: In this paper, a weak quadruple effect was used to enhance the depth of focus and solve the current problems in the off-axis illumination techniques, which is suitable for use with the attenuated phase shifting mask.
Abstract: Practical resolution, which is defined as the minimum geometry for a 1.0 micrometers depth of focus, in conventional krypton fluoride (KrF) excimer laser (248 nm) lithography is 0.30 micrometers . A new illumination technique, which uses a weak quadruple effect to enhance the depth of focus and to solve the current problems in the off-axis illumination techniques, has been developed. This new illumination technique is suitable for use with the attenuated phase shifting mask. With this combination technique, a 1.8 micrometers depth of focus using a 0.45 NA KrF excimer laser stepper can be achieved without a secondary peak in the distribution of light intensity for the various duties 0.30 micrometers space patterns. Even for hole patterns, a 2.0 micrometers common depth of focus can be achieved. These results indicate that KrF excimer laser lithography is a powerful candidate for beyond 0.25 micrometers -rule devices. It is also confirmed that i-line lithography is an expectable candidate for the second generation of 0.35 micrometers -rule devices.

Journal ArticleDOI
TL;DR: In this paper, the authors review the phenomenology of fused silica phase grating masks and describe work toward establishing characterization criteria, implementing practical printing methods, improving e-beam precision, and demonstrating laser array performance.

Patent
18 Aug 1995
TL;DR: In this article, the authors proposed a deflection correcting device for a photomask which is simple in constitution, is capable of maintaining the exact horizontal accuracy of the polygonal mask installed in the horizontal direction, is able of checking for each work and is able to maintain the horizontal accuracy in the flow of an existing exposing operation.
Abstract: PURPOSE:To obtain a deflection correcting device for a photomask which is simple in constitution, is capable of maintaining the exact horizontal accuracy of the photomask installed in the horizontal direction is capable of checking for each work and is capable of maintaining the horizontal accuracy in the flow of an existing exposing operation. CONSTITUTION:This correcting device 1 for the horizontal accuracy of the photomask P which exposes prescribed patterns to a work by irradiating the work with UV rays is composed of an enclosing section 2 which is provided with positioning marks at least at two points of the photomask P and airtightly holds at least one surface side of the photomask P, a pressure regulating means 3 which regulates the pressure in this enclosing section 2, an image pickup means 4 which picks up the image of the positioning marks of the photomask P and a control section 5 which controls this pressure regulating means 3 by processing the image pickup information from this image pickup means 4. The enclosing section 2 of the deflection correcting device of the photomask is provided with a light transparent plate 2b in the position facing the photomask P.

Patent
17 Jul 1995
TL;DR: In this article, triangular shapes are added or deleted to and from all the corners of designed patterns according to prescribed rule to determine the photomask image for simulation having the corner rounding of the mask.
Abstract: PROBLEM TO BE SOLVED: To make it possible to exactly simulate transfer patterns at a high speed by forming a photomask image for simulation and executing light intensity simulation by using the same. SOLUTION: Triangular shapes are added or deleted to and from all the corners of designed patterns according to prescribed rule to determine the photomask image for simulation having the corner rounding of the mask. Rectangular equilateral triangles having length (a) on two sides are deleted from the projecting angles in this rule. The rectangular equilateral triangles having length (a) on the two sides are added to recessed angles. The two-dimensional relative light intensity distribution at just focus and defocus is determined by a light intensity simulator of scalar diffraction theory under exposure conditions by using the photomask image for simulation having such corner rounding. Further, contour lines are determined and the regulated patterns are decided as resist patterns. COPYRIGHT: (C)1997,JPO

Patent
14 Sep 1995
TL;DR: In this article, a photoresist mask was used as a mask to enhance the accuracy in the formation of flexible circuit boards by forming a laminate of polyimide precursor layer and photoresists layer on a copper foil and then etching the polyimides precursor layer using a patterned photo-layer as mask.
Abstract: PROBLEM TO BE SOLVED: To enhance accuracy in the formation of flexible circuit board by forming a laminate of polyimide precursor layer and photoresist layer on a copper foil and then etching the polyimide precursor layer using a patterned photoresist layer as a mask. SOLUTION: A polyimide precursor layer (poly-layer) 11, a photoresist layer (photo-layer) 12, a protective film 13, and a copper foil 3 are formed on a composite film 10. A photomask 14 is mounted thereon and the photo-layer 12 is patterned. The poly-layer 11 is then etched using the photo-layer 12 as a mask and after stripping the photo-layer 12, the poly-layer 11 is etched and hardened to form a polyimide layer 11-1. Subsequently, a resist layer 15 and a photomask 16 are formed on the copper foil 3 and subjected to patterning and etching. Furthermore, a poly-layer 11 and a photo-layer 12 are formed followed by formation of a polyimide layer 11-1 similarly to the preceding process and the polyimide layers 11-1 are integrated.

Patent
27 Nov 1995
TL;DR: In this paper, the FLEX method is applied to the projection exposure of the step-and-scan system such that enlargement of the exposure area and the depth of focus may be achieved simultaneously.
Abstract: A method and apparatus for projection exposure applied to photolithography in the field of production of semiconductor devices. An illuminating light which has passed through a silt having an opening width is swept relative to a photomask for projecting a pattern of the photomask on a wafer using a projection optical system. As the illuminating light is swept a distance equal to the opening width of the slit in a direction along the x-axis, the imaging plane is oscillated by one period a distance equal to 2 μm. Specifically, during the time the photomask is moved by the above distance in the direction along the axis x, with the illuminating unit and the projection optical system remaining fixed, the wafer is moved in the x-axis direction in synchronism with the photomask 4 at the same time as the wafer is oscillated by one period with an amplitude 2 μm in the direction along the z-axis. The FLEX method may be applied to the projection exposure of the step-and-scan system such that enlargement of the exposure area and the depth of focus may be achieved simultaneously. Thus, in the process for manufacturing a semiconductor device which is miniaturized in size, the photolithographic process can be improved in reliability.

Patent
03 Feb 1995
TL;DR: The photomask of the present invention uses chrome patterns formed on a quartz substrate in such a way that the ratio of the line width of chrome pattern to the width of the space between the chrome patterns is 3:5 as discussed by the authors.
Abstract: The photomask of the present invention uses chrome patterns formed on a quartz substrate in such a way that the ratio of the line width of chrome pattern to the width of the space between the chrome patterns is 3:5, a phase shift pattern formed at the center of the space between the chrome patterns to have smaller width than the line width of chrome pattern, and auxiliary patterns formed on both sides of the phase shift pattern to have same width together with the phase shift pattern as the line width of each of the chrome patterns.

Patent
01 Nov 1995
TL;DR: In this article, a simplified photoresist pattern for forming a T-gate electrode of the semiconductor device can be obtained by applying the exposing process and the developing process once onto a single layer of the resist, using the photolithography.
Abstract: A photomask according to the present invention for forming a T-gate electrode for Metal Semiconductor Field Effect Transistor and High Electron Mobility Transistor by performing each of the exposing process and the developing process once is disclosed. The photomask is composed of a primary mask positioned at the top surface of the transparent substrate made of Silica glass and a secondary mask positioned at the top surface of the transparent substrate for enhancing the resolution of the primary mask. The primary mask includes an opaque layer in which a material for mask pattern of, for example, Cr or Fe 2 O 3 , or other opaque materials is deposited at the bottom surface of the transparent substrate, thereby preventing the light radiated from being transmitted, and a first and second patterns for forming the leg portion and the head portion of the T-gate electrode by simultaneously radiating the light into the exposed portion of the transparent substrate. The secondary mask includes a phase shifting part for shifting the phase of the light so radiated to effect the diffraction and interference phenomenon of the light transmitted through the predetermined portion of the top surface of the transparent substrate, which is etched to a predetermined extent, and an optical transmissive part for transmitting the light as it is, without shifting the phase of the light. According to the present invention, a simplified photoresist pattern for forming a T-gate electrode of the semiconductor device can be obtained by applying the exposing process and the developing process once onto a single layer of the resist, using the photolithography. The superior resolution ability can also be achieved by controlling the diffraction and interference phenomenon of the light transmitted through the phase shifting part and the transmissive part of the secondary.