scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2015"


Journal ArticleDOI
TL;DR: This paper describes a paper-based microfluidic analytical device for iron assay using a photomask printed with a 3D printer for fabrication of hydrophilic and hydrophobic zones on the paper by photolithography.

90 citations


Journal ArticleDOI
TL;DR: A novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks with potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique.
Abstract: We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

39 citations


Patent
22 Jun 2015
TL;DR: A defect correction method of a microstructure as mentioned in this paper includes a step of forming a defect correction film filling the defect by atomic layer deposition, and another step of removing the defect corrections by isotropic etching.
Abstract: PROBLEM TO BE SOLVED: To provide a defect correction method of a microstructure capable of correcting the defect of a microstructure, e.g., the transfer pattern of a template for nanoimprint or the mask pattern of a photomask, more easily, and to provide a method of manufacturing a microstructure capable of producing a microstructure where the defect is corrected.SOLUTION: A defect correction method of a microstructure includes a step of forming a defect correction film filling the defect of a microstructure by atomic layer deposition, and a step of removing the defect correction film, excepting that filling the defect, by isotropic etching.

36 citations


Journal ArticleDOI
TL;DR: Photocatalytic lithography is proved for the realization of micropatterned polymer brushes, which is intrinsically parallel, has high throughput and scalable to wafer size, making it powerful for microfabrication purposes.

32 citations


Journal ArticleDOI
14 Jan 2015-Langmuir
TL;DR: An inexpensive nanolithographic technique was developed to fabricate centimeter-scale up to wafer-scale sub-100-nm variously shaped nanopatterns on surfaces, making it an affordable approach to be used in academic research for researchers at most institutions.
Abstract: Developing a cost-effective nanolithography strategy that enables the production of subwavelength features with various shapes over large areas is a long-standing goal in the nanotechnology community. Herein, an inexpensive nanolithographic technique that combines the wafer-scale production capability of photolithography with the subwavelength feature size controllability of near-field photolithography was developed to fabricate centimeter-scale up to wafer-scale sub-100-nm variously shaped nanopatterns on surfaces. The wafer-scale elastomeric trench-based photomasks with subwavelength apertures created at the apexes were compatible with mask aligners, allowing for the production of wafer-scale subwavelength nanopatterns with adjustable feature sizes, shapes, and periodicities. The smallest feature sizes of 50 and 80 nm were achieved on positive tone and negative tone photoresist surfaces, respectively, which could be ascribed to a near-field optical effect. The fabricated centimeter-scale nanopatterns we...

21 citations


Journal ArticleDOI
TL;DR: This method enables in situ fabrication of well-defined hydrogel patterns and presents a simple approach to fabricate 3-D hydrogels matrices for biomolecule separation, biosensing, tissue engineering, and immobilized protein microarray applications.

19 citations


Journal ArticleDOI
TL;DR: In this paper, numerically optimized diffractive phase masks are used to project microscale patterns onto photoresist-coated oblique and multiplane surfaces for 3D micropatterning.
Abstract: Micropatterning on oblique and multiplane surfaces remains a challenge in microelectronics, microelectromechanics, and photonics industries. We describe the use of numerically optimized diffractive phase masks to project microscale patterns onto photoresist-coated oblique and multiplane surfaces. Intriguingly, we were able to pattern a surface at 90 deg to the phase mask, which suggests the potential of our technique to pattern onto surfaces of extreme curvature. Further studies show that mask fabrication error of below 40-nm suffices to conserve pattern fidelity. A resolution of 3 μm and a depth-of-focus of 55 μm are essentially dictated by the design parameters, the mask generation tool, and the exposure system. The presented method can be readily extended for simple and inexpensive three-dimensional micropatterning.

16 citations


Patent
19 Nov 2015
TL;DR: In this article, a phase shift blank mask for manufacturing a photomask with a fine pattern of not greater than 32 nm, preferably not more than 14 nm, and more than 10 nm.
Abstract: Disclosed is a phase-shift blankmask for manufacturing a photomask, which can achieve a fine pattern of not greater than 32 nm, preferably not greater than 14 nm, and more preferably not greater than 10 nm. To this end, a phase-shift film, a light-shielding film, an etch-stopping film and a hard film are provided on a transparent substrate, in which the light-shielding film has a multi-layered structure of two or more layers different in composition, one of which essentially contains oxygen (O), a light-shielding layer essentially having oxygen (O) occupies 50%˜100% of the whole thickness of the light-shielding film, and the phase-shift film has a transmissivity of 10%˜50%.

16 citations


Patent
23 Jan 2015
TL;DR: In this paper, a blank mask and a photomask are provided to prevent the loss in thickness of lateral, top and bottom surfaces of a pattern of a light shielding film or a phase shifting film.
Abstract: A blankmask and a photomask using the same are provided. The blankmask can be useful in preventing the loss in thickness of lateral, top and bottom surfaces of a pattern of a light shielding film or a phase shifting film after the manufacture of the photomask by forming protective film, which has an etch selectivity with respect to a pattern of a hard film or the light shielding film, on the light shielding film or the phase shifting film so that the loss of the phase shifting film formed under the light shielding film or the phase shifting film can be prevented when a process of removing the light shielding film disposed under the hard film or a pattern of the light shielding film is performed during a washing process and a process of removing a pattern of the hard film in a method of manufacturing a photomask, thereby securing uniformity in thickness.

14 citations


Journal ArticleDOI
TL;DR: The SHARP microscope as mentioned in this paper is an extreme ultraviolet (EUV)-wavelength, synchrotron-based microscope dedicated to advanced EUV photomask research, which is designed to emulate current and future generations of EUV lithography (EUVL).
Abstract: The Semiconductor High-Numerical-aperture (NA) Actinic Reticle Review Project (SHARP) is an extreme ultraviolet (EUV)-wavelength, synchrotron-based microscope dedicated to advanced EUV photomask research The instrument is designed to emulate current and future generations of EUV lithography (EUVL) The performance of the SHARP microscope has been well characterized for its low-NA lenses, emulating imaging in 025 and 033 NA lithography scanners Evaluating the resolution of its higher-NA lenses, intended to emulate future generations of EUV lithography, requires a photomask with features down to 22-nm half pitch The authors fabricated a sample with features down to 20-nm half pitch, exposing a wafer with a standard multilayer coating in the Berkeley microfield exposure tool, and used it to demonstrate real-space imaging down to 22-nm half pitch on the SHARP microscope The demonstrated performance of SHARP's high-NA zoneplates, together with the extended capabilities of the tool, provide a platform tha

14 citations


Patent
22 Apr 2015
TL;DR: In this article, a polycrystalline silicon thin film transistor manufacturing method is described, which includes the steps that a semiconductor material layer is formed on a prefabricated substrate; a middle layer is created on the semiconductor materials layer; the middle layer was coated with light resistance materials to form a light resistance layer, and first exposure is conducted on the light resistance surface through a photomask; the prefabicated substrate provided with the light-resistance layer generated after the first exposure was moved relative to the photomasks in a certain direction, and second
Abstract: The invention discloses a polycrystalline silicon thin film transistor manufacturing method The method includes the steps that a semiconductor material layer is formed on a prefabricated substrate; a middle layer is formed on the semiconductor material layer; the middle layer is coated with light resistance materials to form a light resistance layer, and first exposure is conducted on the light resistance layer through a photomask; the prefabricated substrate provided with the light resistance layer generated after the first exposure is moved relative to the photomask in a certain direction, and second exposure is conducted on the light resistance layer through the photomask; The light resistance materials, generated after the exposure is conducted, on the light resistance layer is removed so as to form a light resistance region and a hollowed-out region on the light resistance layer, wherein the light resistance region comprises a center portion and a wing portion, and the hollowed-out region does not contain the light resistance materials; an ion light doped region corresponding to the wing portion and an ion heavy doped region corresponding to the hollowed-out region and used for generating a source drain electrode are formed in the semiconductor material layer By means of the polycrystalline silicon thin film transistor manufacturing method, an LTPS panel can be manufactured while the panel manufacturing processes are reduced, and the panel production cost can be lowered

Journal ArticleDOI
TL;DR: In this article, a capacitive-type touch screen panel (TSP) composed of silver nanowire (AgNW) crossing electrodes and transparent bridge structures was fabricated on a polycarbonate film.
Abstract: A capacitive-type touch screen panel (TSP) composed of silver nanowire (AgNW) crossing electrodes and transparent bridge structures was fabricated on a polycarbonate film. The transparent bridge structure was formed with a stack of Al-doped ZnO (AZO) electrodes and SU-8 insulator. The stable and robust continuity of the bridge electrode over the bridge insulator was achieved by making the side-wall slope of the bridge insulator low and depositing the conformal AZO film with atomic layer deposition. With an extended exposure time of photolithography, the lower part of the SU-8 layer around the region uncovered by the photomask can be exposed enough to the UV light scattered from the substrate. This leads to the low side-wall slope of the bridge insulator. The fabricated TSP sample showed a large capacitance change of 22.71% between with and without touching. Our work supplies the technological clue for ensuring long-term reliability to the highly flexible and transparent TSP made by using conventional fabrication processes.

Patent
26 Aug 2015
TL;DR: In this paper, the dual-gate oxide semiconductor TFT substrate is characterized in that a photomask manufacturing procedure is carried out by using a halftone mask plate, thereby not only being capable of completing patterning of an oxide layer, but also being able to acquire an oxide conductor layer (53') through ion doping.
Abstract: The invention provides a manufacturing method and a structure of a dual-gate oxide semiconductor TFT (thin film transistor) substrate. The manufacturing method of the dual-gate oxide semiconductor TFT substrate is characterized in that a photomask manufacturing procedure is carried out by using a halftone mask plate, thereby not only being capable of completing patterning of an oxide semiconductor layer, but also being capable of acquiring an oxide conductor layer (53') through ion doping; patterning treatment is carried out on a bottom gate insulating layer (31) and a top gate insulating layer (32) simultaneously through a photomask manufacturing procedure; patterning treatment is carried out on a second metal layer and a third metal layer simultaneously through a photomask manufacturing procedure so as to acquire a first source electrode (81), a first drain electrode (82), a second source electrode (83), a second drain electrode (84), a first top gate (71) and a second top gate (72); and patterning treatment is carried out on a second flat layer (9), a passivation layer (8) and the top gate insulating layer (32) simultaneously through a photomask manufacturing procedure. According to the invention, the number of the photomask manufacturing procedures is reduced to nine, thereby effectively simplifying the manufacturing procedures, improving the production efficiency and reducing the production cost.

Patent
26 Aug 2015
TL;DR: In this article, a gray-scale photomask, a grid insulation layer, a semiconductor layer and an etching blocking layer are fabricated together through one photo-etching process.
Abstract: The invention provides a fabrication method of a thin film transistor (TFT) substrate and a structure thereof. According to the fabrication method of the TFT substrate, by using a gray-scale photomask, a grid insulation layer, a semiconductor layer and an etching blocking layer are fabricated together through one photoetching process, the photoetching process is reduced to eight times from ten times, the usage amount of the photomask is reduced, production process is simplified, and production efficiency and yield are effectively improved. In the TFT substrate structure, the grid insulation layer, the semiconductor layer and the etching blocking layer can be fabricated together through one photoetching process by using the gray-scale photomask, the structure is simple and easy to fabricate, and the production efficiency and the yield can be effectively improved.

Journal ArticleDOI
20 Apr 2015-Langmuir
TL;DR: The recessed positive photoresist nanop atterns produced in this study provide a convenient route to transfer the resist nanopatterns to metal nanopat Sternbergian nanostructure for various potential applications.
Abstract: By coating polydimethylsiloxane (PDMS) relief structures with a layer of opaque metal such as gold, the incident light is strictly allowed to pass through the nanoscopic apertures at the sidewalls of PDMS reliefs to expose underlying photoresist at nanoscale regions, thus producing subwavelength nanopatterns covering centimeter-scale areas. It was found that the sidewalls were a little oblique, which was the key to form the nanoscale apertures. Two-sided and one-sided subwavelength apertures can be constructed by employing vertical and oblique metal evaporation directions, respectively. Consequently, two-line and one-line subwavelength nanopatterns with programmable feature shapes, sizes, and periodicities could be produced using the obtained photomasks. The smallest aperture size and line width of 80 nm were achieved. In contrast to the generation of raised positive photoresist nanopatterns in phase shifting photolithography, the recessed positive photoresist nanopatterns produced in this study provide a...

Journal ArticleDOI
TL;DR: In this paper, the fabrication and modeling of three-dimensional (3D) nanostructures by automated multidirectional ultraviolet (UV) lithography is performed using a static UV light source equipped with a tilt-rotational substrate holder.
Abstract: This paper presents the fabrication and modeling of three-dimensional (3D) nanostructures by automated multidirectional ultraviolet (UV) lithography, which is a fast, cost-effective, manufacturable fabrication method. Multidirectional UV exposure is performed using a static UV light source equipped with a tilt-rotational substrate holder. A glass substrate with a nanopatterned chrome layer is utilized as both a photomask and a substrate, for which a backside UV exposure scheme is used. For the analytical modeling of the shape of fabricated nanostructures, UV exposure dosage, diffraction and refraction effects, and absorption rate are taken into account. For more accurate process predictive models, a commercially available multiphysics simulation tool is used. The structural shapes predicted from analytical calculation and simulation are compared with the fabricated ones for which various 3D nanoscale test structures are fabricated such as an inclined nanopillar array and a vertical triangular slab. Also, nanostructures with multiple heights are successfully implemented from single layer photoresist by controlling the UV exposure dosage and tilt angles. A tripod embedded horn and a triangular-slab embedded horn are demonstrated.

Proceedings ArticleDOI
TL;DR: The SHARP microscope at Lawrence Berkeley National Laboratory is dedicated to photomask research, and is preparing to extend SHARP imaging to include anamorphic optics, an emerging area of EUV lithography research.
Abstract: Extreme ultraviolet (EUV) microscopy is invaluable for the development of EUV photomasks, providing detailed information for the creation of new mask processes, and reliable feedback for comparison with printing studies. The SHARP microscope at Lawrence Berkeley National Laboratory is dedicated to photomask research. It was developed with forward-looking specifications that make it well suited to the emulation of current EUV lithography tools and a variety of possible future directions. Some recent examples include (1) the demonstration of imaging with 4x numerical aperture values up to 0.625, measuring patterns with feature sizes down to 30-nm half-pitch, created with a tin-based photoresist serving as the absorber. (2) The emulation of complex, free-form illuminators used in source-mask optimization, including grayscale pupil fills. (3) Point by point phase measurement from aerial image measurements using several techniques. (4) Direct observation of non-telecentric, through-focus imaging effects that arise from the angular-dependence of the mask’s multilayer coating properties. In addition, we are preparing to extend SHARP imaging to include anamorphic optics, an emerging area of EUV lithography research.

Patent
07 Oct 2015
TL;DR: In this article, a photomask for photo alignment and a photo-alignment method is proposed, which consists of a body and a plurality of light-transmitting patterns arranged on the body.
Abstract: The invention provides a photomask for photo alignment and a photo-alignment method. The photomask for photo alignment comprises a photomask body (2) and a plurality of light-transmitting patterns arranged on the photomask body (2), wherein the plurality of light-transmitting patterns are arrayed along the first direction (DY) line by line and are arranged along the second direction (DX) perpendicular to the first direction (DY); each light-transmitting pattern comprises a non-overlapping domain and overlapping domains, and the non-overlapping domain is not overlapped with adjacent photomasks during use; the overlapping domains are respectively positioned on the left side and the right side of the non-overlapping domain and are overlapped with the adjacent photomasks during use; the first direction (DY) is the translation forward direction of the photomask when the phototmask is used for exposure and alignment; one ends, towards the first direction (DY), of the light-transmitting patterns positioned in the overlapping domains are aligned to one ends, towards the first direction (DY), of the light-transmitting patterns positioned in the non-overlapping domain, so that the alignment effect can be improved, and the mura problem due to the fact that the unexposed domains or insufficiently-exposed domains exist when MMG products are aligned is avoided.

Journal ArticleDOI
TL;DR: In this paper, a facile parallel near-field photolithography strategy was developed to enable centimeter-scale nanopatterns with sub-wavelength feature size and variable feature shapes by utilizing a metal coating to manipulate the light path of polydimethylsiloxane (PDMS) structure based photomasks.
Abstract: The capability of generating sub-wavelength nanostructures in a low-cost and high yield fashion is important for many areas of research. In this work, a facile parallel near-field photolithography strategy was developed to enable centimeter-scale nanopatterns with sub-wavelength feature size and variable feature shapes by utilizing a metal coating to manipulate the light path of polydimethylsiloxane (PDMS) structure based photomasks. The thin metal coating and microscale apertures created on PDMS structures not only imparted the adhesive and conformal merits to the elastomeric photomasks, but are also capable of making the nanoscale regions below V-shape PDMS tips apexes or vertical sidewalls of flat PDMS reliefs be selectively exposed by controlling the light path. Thus, sub-100 nm nanostructures were generated over large areas on the substrate. For the first time, two under exposures in near-field photolithography were employed to fabricate sub-wavelength nanorod arrays with adjustable length to width ratios by rotating the photomask in the second exposure. Besides generation of the smallest 70 nm photoresist features and 80 nm metal nanostructures, this technique was also exploited to fabricate self-assembled monolayers (SAMs) molecular nanopatterns with sub-wavelength feature size. This nanolithography strategy combines the advantages of low-cost, high productivity, sub-wavelength feature size and flexible feature geometries, making it a facile and general nanofabrication tool to enable various functional nanostructures for academic research.

Patent
Andrey Lutich1
13 Apr 2015
TL;DR: In this article, a layout of a portion of a photomask is provided, which includes a plurality of target features having a shape in accordance with a corresponding one of a target shape.
Abstract: A method includes providing a layout of a portion of a photomask. The layout includes a plurality of target features having a shape in accordance with a corresponding one of a target shape. For each of the target shapes, a local map specifying a respective value of a local sub-resolution assist feature (SRAF) usefulness for each of a plurality of positions relative to the target shape is provided. For each of the target features, an assignment of a part of the values of the local SRAF usefulness of the local map for the target shape corresponding to a target feature to a position relative to the portion of the photomask is provided. A global map specifying a global SRAF usefulness for each of the positions relative to the portion of the photomask is provided on the basis of the assignment of the values of the local SRAF usefulness.

Journal ArticleDOI
TL;DR: In this paper, a specific fabrication process has been developed to produce low-cost photomasks using standard consumer products, based on an indirect route, using a numerically controlled excimer laser (KrF) etching technique.

Journal ArticleDOI
TL;DR: In this paper, a post-exposure bake (PEB) is used for mask fabrication with a non-CAR photoresist material in conjunction with a JBX9000 electron-beam lithography (EBL) tool.

Journal ArticleDOI
Feng Xia1, Xinzheng Zhang1, Meng Wang1, Qian Liu, Jingjun Xu1 
TL;DR: A multilayer oxidation model of In-In(2)O(3) film with a glass substrate was proposed to study the pulsed laser-induced oxidation mechanism and can successfully interpret the fabrication mechanism of MTMO grayscale photomasks.
Abstract: One kind of novel grayscale photomask based on Metal-transparent-metallic-oxides (MTMOs) system fabricated by laser direct writing was demonstrated recently. Here, a multilayer oxidation model of In-In2O3 film with a glass substrate was proposed to study the pulsed laser-induced oxidation mechanism. The distribution of the electromagnetic field in the film is calculated by the transfer matrix method. Temperature fields of the model are simulated based on the heat transfer equations with the Finite-Difference Time-Domain method. The oxidation kinetics process is studied based on the laser-induced Cabrera-Mott theory. The simulated oxidation processes are consistent with the experimental results, which mean that our laser-induced oxidation model can successfully interpret the fabrication mechanism of MTMO grayscale photomasks.

Patent
13 Nov 2015
TL;DR: A photomask blank has a chemically amplified positive resist film comprising a polymer comprising recurring units having a specific substituent group on aromatic ring and recurring units with at least one fluorine atom, a base resin which is decomposed under the action of acid to increase its solubility in alkaline developer, and an acid generator.
Abstract: A photomask blank has a chemically amplified positive resist film comprising (A) a polymer comprising recurring units having a specific substituent group on aromatic ring and recurring units having at least one fluorine atom, (B) a base resin which is decomposed under the action of acid to increase its solubility in alkaline developer, (C) an acid generator, and (D) a basic compound. The resist film is improved in age stability and antistatic film-receptivity.

Journal ArticleDOI
TL;DR: In this article, an integrated photomask micromolding is demonstrated, which uses a portable UV light source and chrome glass micromolds to fabricate 3D microstructures without alignment.
Abstract: Freestanding three-dimensional (3D) microstructures are widely used in micro-electro-mechanical system (MEMS) applications or can function as microdevices themselves. However, microfabrication methods for freestanding 3D microstructures have limitations in shape, size, cost, and mass production, etc. In this work, integrated photomask micromolding is demonstrated, which uses a portable UV light source and chrome glass micromolding to fabricate 3D microstructures without alignment. Specifically, a chrome layer on one side of the glass micromold shields the excess filling SU-8 photoresist from UV exposure and only the SU-8 photoresist in mold cavities is crosslinked. The 3D microstructures produced using this method have very high dimensional accuracy and the profile error is approximately 1.5%. This method can be used with features of virtually any size and shape and can be integrated into highly-parallel micromolding processes and has potential for MEMS applications.

Proceedings ArticleDOI
23 Oct 2015
TL;DR: In this paper, an empirical error budget to compensate for various measurement errors, based on the latest HVM inspection and write tool capabilities, is first established and then verified post-patterning.
Abstract: Several challenges hinder EUV photomask fabrication and its readiness for high volume manufacturing (HVM). The lack in availability of pristine defect-free blanks as well as the absence of a robust mask repair technique mandates defect mitigation through pattern shift for the production of defect-free photomasks. By using known defect locations on a blank, the mask design can be intentionally shifted to avoid patterning directly over a defect. The work presented here provides a comprehensive look at pattern shift implementation to intersect EUV HVM for the 7 nm technology node. An empirical error budget to compensate for various measurement errors, based on the latest HVM inspection and write tool capabilities, is first established and then verified post-patterning. The validated error budget is applied to 20 representative EUV blanks and pattern shift is performed using OPC’d 7 nm node fully functional chip designs that were also recently used to fabricate working 7 nm node devices. Probability of defect-free masks are explored for various 7 nm mask levels, including metal, contact, and gate cut layers. From these results, an assessment is made on the current viability of defect-free EUV masks for the 7 nm node.

Proceedings ArticleDOI
TL;DR: SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO 2 equivalent) as discussed by the authors.
Abstract: Availability of defect-free reflective mask has been one of the most critical challenges to extreme ultraviolet lithography (EUVL). To mitigate the risk, significant progress has been made on defect detection, pattern shifting, and defect repair. Clearly such mitigation strategies are based on the assumption that defect counts and sizes from incoming mask blanks must be below practical levels depending on mask specifics. The leading industry consensus for early mask product development is that there should be no defects greater than 80 nm in the quality area, 132 mm x 132 mm. In addition less than 10 defects smaller than 80 nm may be mitigable. SEMATECH has been focused on EUV mask blank defect reduction using Veeco Nexus TM IBD platform, the industry standard for mask blank production, and assessing if IBD technology can be evolved to a manufacturing solution. SEMATECH has recently announced a breakthrough reduction of defects in the mask blank deposition process resulting in the production of two defect-free EUV mask blanks at 54 nm inspection sensitivity (SiO 2 equivalent). This paper will discuss the dramatic reduction of baseline EUV mask blank defects, review the current deposition process run and compare results with previous process runs. Likely causes of remaining defects will be discussed based on analyses as characterized by their compositions and whether defects are embedded in the multilayer stack or non-embedded.

Patent
16 May 2015
TL;DR: In this article, an embodiment of an absorption membrane into a thin film can be achieved by adjusting composition ratio and kinds of metal and light elements consisting of the absorption membrane, in a reflective blank mask using extreme ultraviolet light and a photomask using the blank mask.
Abstract: The present invention relates to a blank mask for extreme ultraviolet (EUV), and to a photomask using the same According to the present invention, obtaining required optical properties and an embodiment of an absorption membrane into a thin film can be possible by adjusting composition ratio and kinds of metal and light elements consisting of the absorption membrane, in a reflective blank mask using extreme ultraviolet light and a photomask using the blank mask Accordingly, occurrence of shadow effects reduced, and deviation in critical difference on a vertical and horizontal pattern can be minimized in the embodiment of the pattern which is smaller than or equal to 30 nm by size, especially, a pattern which is smaller than or equal to 14 nm Furthermore, in terms of a washing process carried out during a photomask production process by using a washing solution, provided is a high-quality photomask for extreme ultraviolet, having the absorption membrane with improved chemical resistance and durability against the washing solution

Patent
26 Mar 2015
TL;DR: In this paper, a photomask lithography simulation model is created for making a semiconductor chip and poor metrology is filtered and removed from a contour-specific metrology dataset.
Abstract: A photomask lithography simulation model is created for making a semiconductor chip. Poor metrology is filtered and removed from a contour-specific metrology dataset to improve performance of the photomask. Filtering is performed by the application of a weighting scheme.

Patent
25 Nov 2015
TL;DR: In this article, a photomask plate is provided with a composition pattern which is used for forming a mapping pattern; the composition pattern comprises a strip-shaped main body and auxiliary composition pattern units.
Abstract: The invention belongs to the technical field of semiconductors, and particularly relates to a photomask plate and an exposure system. The photomask plate is provided with a composition pattern which is used for forming a mapping pattern; the composition pattern comprises a strip-shaped main body and auxiliary composition pattern units; the strip-shaped main body is used for forming a linear pattern; the auxiliary composition pattern units are arranged at two sides of the strip-shaped main body; and the auxiliary composition pattern units can adjust and compensate the ray direction and the light intensity in the exposure process. According to the photomask plate, the fineness of the mapping pattern which is formed by exposure of the photomask plate is improved, so that the precision of the formed linear pattern is improved.