scispace - formally typeset
Search or ask a question

Showing papers on "Plasma-enhanced chemical vapor deposition published in 1995"


Journal ArticleDOI
TL;DR: In this article, the optical properties of amorphous silicon nitride thin films have been extensively characterized by absorption, photoluminescence (PL), photolumininescence excitation, and electroluminecence measurements, and a simple qualitative model based on nitrogen and silicon dangling bonds adequately explains the observed PL features.
Abstract: Silicon nitride films were deposited at low temperatures (245–370 °C) and high deposition rates (500–1700 A/min) by hot filament assisted chemical vapor deposition (HFCVD). Optical properties of these amorphous silicon nitride thin films have been extensively characterized by absorption, photoluminescence (PL), photoluminescence excitation, and electroluminescence measurements. The optical band gap of the films was varied between 2.43 and 4.74 eV by adjusting the flow rate of the disilane source gas. Three broad peaks at 1.8, 2.4, and 3.0 eV were observed in the PL spectra from these films. A simple qualitative model based on nitrogen and silicon dangling bonds adequately explains the observed PL features. The photoluminescence intensity observed in these films was 8–10 times stronger than films deposited by plasma enhanced chemical vapor deposition, under similar conditions. The high deposition rates obtained by HFCVD is believed to introduce a large number of these optically active defects.

290 citations


Journal ArticleDOI
TL;DR: Fluorinated amorphous carbon films were proposed as low dielectric constant interlayer dielectrics for ultralarge scale integration circuits in this paper, where the films were deposited by plasma enhanced chemical vapor deposition with CH4 and CF4 in a parallel plate rf (13.56 MHz) reactor.
Abstract: Fluorinated amorphous carbon films were proposed as low dielectric constant interlayer dielectrics for ultralarge scale integration circuits. The films were deposited by plasma enhanced chemical vapor deposition with CH4 and CF4 in a parallel plate rf (13.56 MHz) reactor. The dielectric constant of the amorphous carbon films deposited with CH4 was increased with increases in rf power. The addition of CF4 to CH4 raised the deposition rate and reduced the dielectric constant. At an rf power of 200 W, and at a flow rate of 47 sccm for CF4 and 3 sccm for CH4, the dielectric constant of the fluorinated amorphous carbon films was 2.1.

157 citations


Book
01 Jan 1995
TL;DR: In this article, a-Si:H alloys are shown to have properties similar to the Optoelectronic Properties of Amorphous-Silicon using the PECVD technique.
Abstract: G. Bruno, P. Capezzuto, and G. Cicala, Chemistry of Amorphous Silicon Deposition Processes: Fundamentals and Controversial Aspects: Some Fundamentals on Plasma Deposition. Chemical Systems for Amorphous Silicon andIts Alloys. Effect of Novel Parameters. Deposition Mechanisms and Controversial Aspects. G. Turban, B. Drevillon, D.S. Mataras, and D.E. Rapakoulias, Diagnostics of Amorphous Silicon (a-Si) Plasma Processes: Optical Diagnostics. Mass Spectrometry and Langmuir Probes. In Situ Studies of the Growth of a-Si:H by Spectroellipsometry. C.M. Fortmann, Deposition Conditions and the Optoelectronic Properties of a-Si:H Alloys: General Comments on Amorphous Alloy Growth. Relationship between Mobility and Device Performance. Concepts of Electronic Transport in Amorphous Semiconductors. Summary and Conclusions. J. Perrin, Reactor Design for a-Si:H Deposition: Power Dissipation Mechanisms in SiH4 Discharges. Material Balance and Gas-Phase and Surface Physicochemistry. Concepts of Reactors for a-Si:H Deposition. Summary and Conclusions. A. Madan, Optoelectronic Properties of Amorphous-Silicon Using the Plasma-Enhanced Chemical Vapor Deposition (PECVD) Technique: Effect of the Properties of a-SiH Due to Parametric Variations Using the PECVD Technique. Alternative Deposition Techniques. Surface States, Interface States, and Their Effect on Device Performance. Y. Hamakawa, W. Ma, and H. Okamoto, Amorphous Silicon-Based Devices: Significant Advantages of a-Si in Its Alloys as a New Optoelectronic Material. Progress in Amorphous Silicon Solar Cell Technology. Integrated Photosensor and Color Sensor. Aspects of a-Si Imaging Devices Application. a-Si Electrophotographic Applications. Visible-Light Thin-Film Light-Emitting Diode (TFLED). Subject Index. (Chapter Headings): Chemistry of Amorphous Silicon Deposition Processes: Fundamentals and Controversial Aspects. Diagnostics of Amorphous Silicon (a-Si) Plasma Processes. Deposition Conditions and the Optoelectronic Properties of a-Si:H Alloys. ReactorDesign for a-Si:H Deposition. Optoelectronic Properties of Amorphous Silicon Using the Plasma Enhanced Chemical Vapor Deposition (PECVD) Technique. Amorphous Silicon Based Devices.

138 citations


Journal ArticleDOI
TL;DR: In this paper, a charge transfer model is employed to derive the oscillator strength of the SiH stretching absorption and the peak frequencies of the SIH and SiO stretching absorption, for the Si(Si4−nOn)(n = 1−4) and HSi(Si3 −nOn) configurations.
Abstract: The stretching and bending absorptions arising from SiO and SiH bonds in amorphous SiOx:H films, prepared by rf glow discharge decomposition of a SiH4O2 mixture at 300°C, have been investigated by infrared absorption measurements as a function of the O content, x. Changes in the profiles of the SiH stretching absorption as a function of x were examined on the basis of a random-bonding model. A charge-transfer model is employed to derive the oscillator strength of the SiH stretching absorption and the peak frequencies of the SiH and SiO stretching absorption, for the Si(Si4−nOn)(n = 1−4) and HSi(Si3−nOn)(n = 0−3) bonding configurations. The absorption over the range 500–900 cm−1, related to the SiH and SiO bending motions, can be decomposed into five components around 650, 780, 800, 840 and 880 cm−1. It is found that the intensities of the 780, 840 and 880 cm−1 bands are closely correlated with those of the SiH stretching absorptions at 2115, 2200 and 2260 cm−1, respectively. The origins of these absorption bands along with the 650 and 800 cm−1 bands are discussed.

116 citations


Journal ArticleDOI
TL;DR: In situ attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy was used to study surface processes during plasma enhanced chemical vapor deposition (PECVD) of silicon dioxide through tetraethoxysilane (TEOS) and oxygen as mentioned in this paper.
Abstract: In situ attenuated total reflection Fourier transform infrared (ATR‐FTIR) spectroscopy was used to study surface processes during plasma enhanced chemical vapor deposition (PECVD) of silicon dioxide through tetraethoxysilane (TEOS) and oxygen. ATR‐FTIR studies were conducted on thin (about 50 A) silicon dioxide films deposited on GaAs. This approach allowed us to obtain the infrared spectrum of TEOS adsorbed on SiO2 in the spectral region 4000–770 cm−1 and to determine the surface species and their relative surface concentrations as a function of deposition conditions in a helical resonator plasma reactor. Studies were conducted where the SiO2 surface was exposed to TEOS and O2 plasma sequentially and/or simultaneously. Surface processes were studied as a function of exposure to TEOS and substrate temperature. In situ ATR‐FTIR studies of adsorption of TEOS on the SiO2 surface show that TEOS adsorbs chemically and irreversibly onto the SiO2 surface above 100 °C. SiO2 growth was found to occur even without ...

112 citations


Journal ArticleDOI
TL;DR: In this article, the authors used a large RF power, high pressure, and low nitrous oxide to silane ratio to minimize the silanol concentration at a given temperature and maximize the etch resistance of the oxide produced.
Abstract: Thin silicon dioxide films are commonly used as insulating layers in metal-insulator structures, such as integrated circuits and multichip modules. These films are either thermally grown or deposited by thermal or plasma-enhanced chemical vapor deposition (PECVD). The advantage of PECVD is that lower deposition temperatures can be used avoiding defect formation, diffusion, and degradation of the metal layer. However, the low deposition temperature of the PECVD process has a negative effect on the quality of the silicon dioxide. Oxides produced at low temperatures contain more silanol and water impurities and are more porous than those deposited at higher temperatures. The deposition parameters, including substrate temperature, RF power, pressure, and reactant gas flow rate, affect the silanol and water concentration. The substrate temperature has the largest effect on the silanol concentration of the oxide. Using a large RF power, high pressure, and low nitrous oxide to silane ratio will minimize the silanol concentration at a given temperature. This will minimize the dielectric constant and maximize the etch resistance of the oxide produced.

106 citations



Patent
12 Dec 1995
TL;DR: The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC), namely, the electrolyte layer, the two electrodes, and the interface.
Abstract: The use of vapor deposition techniques enables synthesis of the basic components of a solid oxide fuel cell (SOFC); namely, the electrolyte layer, the two electrodes, and the electrolyte-electrode interfaces. Such vapor deposition techniques provide solutions to each of the three critical steps of material synthesis to produce a thin film solid oxide fuel cell (TFSOFC). The electrolyte is formed by reactive deposition of essentially any ion conducting oxide, such as defect free, yttria stabilized zirconia (YSZ) by planar magnetron sputtering. The electrodes are formed from ceramic powders sputter coated with an appropriate metal and sintered to a porous compact. The electrolyte-electrode interface is formed by chemical vapor deposition of zirconia compounds onto the porous electrodes to provide a dense, smooth surface on which to continue the growth of the defect-free electrolyte, whereby a single fuel cell or multiple cells may be fabricated.

88 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used these novel alkoxysilane precursors for PECVD of SiO_2 films in an inductively coupled rf plasma reactor and described the effects of deposition time, rf power, and organosilane pressure on the films' characteristics.
Abstract: This communication describes our results using these novel alkoxysilane precursors for PECVD of SiO_2 films in an inductively coupled rf plasma reactor. The effects of deposition time, rf power, and organosilane pressure on the films’ characteristics are described.

86 citations


Patent
07 Jun 1995
TL;DR: In this paper, a silicon oxime film is formed by plasma enhanced chemical vapor deposition, which is useful as an anti-reflection layer during photolithography, as an etch stop, and as a protection layer.
Abstract: A silicon oxime film is formed by plasma enhanced chemical vapor deposition. The silicon oxime film is useful as an anti-reflection layer during photolithography, as an etch stop, and as a protection layer.

86 citations


Journal ArticleDOI
TL;DR: In this paper, the nucleation and growth of c-BN films by means of the inductively coupled plasma technique was investigated. But the results were limited to two sets of experiments: deposition as a function of the bias voltage V B and experiments with varying deposition times.

Patent
18 Dec 1995
TL;DR: An apparatus for a lower pressure chemical vapor deposition capable of achieving various kinds of thin films having a uniform thickness, preventing parts breakage, and achieving automation of the system was proposed in this article.
Abstract: An apparatus for a lower pressure chemical vapor deposition capable of achieving various kinds of thin films having a uniform thickness, preventing parts breakage, achieving automation of the system, and combining the use of a low pressure chemical vapor deposition apparatus and a plasma low pressure chemical vapor deposition apparatus, which includes a deposition base (110); a reactor disposed on the deposition base and having a reaction region (R) formed therein; a substrate (141) lifted and lowered in the reactor and on which a wafer is placed; a chemical source gas introducer (131) for introducing a chemical source gas into the reactor; a substrate heating member (150) disposed in the substrate for heating the wafer; and a reactor heating member (160) for heating the reactor.

Journal ArticleDOI
01 Nov 1995-Wear
TL;DR: In this article, the authors have demonstrated the wear resistance of amorphous carbon by several deposition processes: cathodic arc deposition, ion beam deposition, plasmaenhanced chemical vapor deposition (PECVD), and DC magnetron sputtering and ion beam sputtered A1203 and RF sputtered SiC.

Journal ArticleDOI
TL;DR: In this paper, a plasma tool and accompanying process have been developed for plasma enhanced chemical vapor deposition of TiO2 thin films for dynamic random access memory application, and a microwave-rf hybrid reactor consists of microwave power (245 GHz) for electron cyclotron resonance, a hollow cathode (01-30 MHz) for control of the plasma potential, and an additional rf (1356 MHz) power to the substrate electrode for ion extraction and ion energy control.
Abstract: A plasma tool and accompanying process have been developed for plasma enhanced chemical vapor deposition of TiO2 thin films for dynamic random access memory application The microwave‐rf (radio frequency) hybrid reactor consists of microwave power (245 GHz) for electron cyclotron resonance, a hollow cathode (01–30 MHz) for control of the plasma potential, and an additional rf (1356 MHz) power to the substrate electrode for ion extraction and ion energy control X‐ray diffraction showed the anatase phase at a low deposition temperature below 400 °C, but the rutile phase dominanted above 400 °C Low energy ion bombardment densifies the TiO2 films and suppresses rutile formation A dielectric constant of 60(±5) at 1 MHz was obtained, independent of film thickness in a range from 20 to 200 nm A SiO2 buffer layer was found to be important in order to prevent silicide formation and to keep the leakage current below 10−10 A/cm2

Journal ArticleDOI
TL;DR: In this article, visible photoluminescence (PL) was observed at room temperature from hydrogenated nanocrystalline silicon (nc•Si:H)/amorphous silicon (a•Si•H) multilayers (MLs) prepared in a plasma enhanced chemical vapor deposition system without any postprocessing.
Abstract: We report in this letter the observation of visible photoluminescence (PL) at room temperature from hydrogenated nanocrystalline silicon (nc‐Si:H)/amorphous silicon (a‐Si:H) multilayers (MLs) prepared in a plasma enhanced chemical vapor deposition system without any postprocessing. The PL peak wavelength can be controlled, blueshifting from 750 to 708 nm, through reducing the width of the nc‐Si:H sublayers from 4.0 to 2.1 nm. Quantum size effect in nc‐Si:H sublayers of the ML is responsible for the emission above the band gap of bulk crystal Si.

Patent
28 Apr 1995
TL;DR: In this paper, a method of producing doped and undoped silicon layers on a substrate by chemical vapor deposition at elevated pressures of from about 10 to about 350 Torr whereby deposition occurs at practicable rates.
Abstract: A method of producing doped and undoped silicon layers on a substrate by chemical vapor deposition at elevated pressures of from about 10 to about 350 Torr whereby deposition occurs at practicable rates. A substrate is loaded in a vacuum chamber, the temperature adjusted to obtain a silicon deposit of predetermined crystallinity, and the silicon precursor gases fed to the chamber to a preselected high pressure. Both undoped and doped silicon can be deposited at high rates up to about 3000 angstroms per minute.

01 Mar 1995
TL;DR: In this paper, the authors used these novel alkoxysilane precursors for PECVD of SiO_2 films in an inductively coupled rf plasma reactor and described the effects of deposition time, rf power, and organosilane pressure on the films' characteristics.
Abstract: This communication describes our results using these novel alkoxysilane precursors for PECVD of SiO_2 films in an inductively coupled rf plasma reactor. The effects of deposition time, rf power, and organosilane pressure on the films’ characteristics are described.

Journal ArticleDOI
TL;DR: In this article, a minimum exposure time to the hydrogen plasma is necessary to recrystallize the amorphous top layer (10 −85 A) is determined by the diffusion time of some mobile H through the top a−Si:H layer.
Abstract: The plasma deposition of nanocrystalline silicon thin films is usually performed under a high flux of atomic hydrogen and hydrogenated chemical species The growth mechanisms are investigated using the layer‐by‐layer deposition of dense nanocrystalline silicon, obtained at 250 °C by alternating SiH4 and H2 plasmas In the steady state, a minimum exposure time to the hydrogen plasma is necessary to recrystallize the amorphous top layer (10–85 A) It is shown that this critical time is determined by the diffusion time of some mobile H through the top a‐Si:H layer The recrystallization is discussed in relation to the diffusion of hydrogen leading to the nanovoid and broken bond formation processes

Journal ArticleDOI
TL;DR: In this paper, the elementary processes during the plasmaenhanced chemical vapour deposition of hydrogenated carbon films from methane, with special emphasis on the surface processes which determine the growth rate and film structure, are discussed in comparison to experimental findings.
Abstract: The paper reviews the elementary processes during the plasma-enhanced chemical vapour deposition of hydrogenated carbon films from methane, with special emphasis on the surface processes which determine the growth rate and film structure. Corresponding model calculations are critically discussed in comparison to experimental findings. Whereas a simplified plasma modeling can be performed with some reliability, only very limited information is available on the the surface physical and chemical mechanisms determining the growth rate as well as the stoichiometry and the structure of the deposited films. Proposed surface models involving widely different processes yield similar results and are thus indiscernible in comparison to results from deposition experiments. Nevertheless, reasonable fits to growth data can be obtained using a combined plasma-surface model. For the formation of film structure, recent ellipsometric data indicate that hydrogen chemistry might play a decisive role in addition to or rather than ion collisional effects.

Journal ArticleDOI
E.-J. Lee1, Su-Il Pyun1
TL;DR: In this paper, a plasma-enhanced chemical vapor deposition (PECVD) method was employed to obtain aluminium oxide films with a similar chemical composition to that of the surface oxide films.

Journal ArticleDOI
TL;DR: In this article, the performance of PECVD SiN x dielectric films has been studied and the relationship between the threshold voltage and the nitride characteristics has been observed.
Abstract: Plasma-enhanced chemical vapor deposited (PECVD) silicon nitride is a popular gate dielectric for the inverted, staggered thin film transistors (TFTs). In this paper, two subjects have been studied: the low temperature, i.e., 250 o C, silicon nitride SiN x deposition process, and the TFT performance based on various SiNs dielectric films. For the PECVD SiN x process, a general mechanism, which includes the coexistence of deposition and etching reactions, is presented. Data from the plasma-phase chemistry, ion bombardment, and film characteristics are used to examine the above model. For TFT applications, device characteristics such as the field effect mobility, the threshold voltage, and the subthreshold slope were shown to be influenced by the deposition process. A relation between the threshold voltage and the nitride characteristics has been observed. The threshold voltage is the lowest when the SiN x layer has a refractive index in the range of 1.85 to 1.90. The exact reason is unknown, but it is possible that the SiN x charge-trapping density is the lowest when the film has a certain ratio of SiH to NH

Journal ArticleDOI
TL;DR: In this article, a simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD, and a relation between the Si-N bond concentration and the refractive index is found.
Abstract: Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy‐nitride produced by plasma‐enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy‐nitride films with atomic nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy‐nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found. This relation suggest that the refractive index of oxy‐nitride with a low nitrogen concentration is determined by the material density. It is suggested that the relative oxygen concentration in the gas flow is the major deposition characterization parameter, and that water vapor is the predominant reaction by‐product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with me...

Journal ArticleDOI
TL;DR: In this paper, a process for uniformly enhancing the nucleation density of diamond films on silicon (Si) substrates via dc-biased hot filament chemical vapor deposition (HFCVD) was described.
Abstract: This paper describes a process for uniformly enhancing the nucleation density of diamond films on silicon (Si) substrates via dc-biased hot filament chemical vapor deposition (HFCVD). The Si substrate was negatively biased and the tungsten (W) filaments were positively biased relative to the grounded stainless steel reactor wall. It was found that by directly applying such a negative bias to the Si substrate in a typical HFCVD process, the enhanced diamond nucleation occurred only along the edges of the Si wafer. This resulted in an extremely nonuniform nucleation pattern. Several modifications were introduced to the design of the substrate holder, including a metal wire-mesh inserted between the filaments and the substrate, in the aim of making the impinging ion flux more uniformly distributed across the substrate surface. With such improved growth system designs, uniform enhancement of diamond nucleation across the substrate surface was realized. In addition, the use of certain metallic wire mesh sizes during biasing also enabled patterned or selective diamond deposition.

Journal ArticleDOI
TL;DR: In this paper, the processing window for selective Cu chemical vapor deposition (Cu CVD) was constructed with respect to deposition pressure and substrate temperature over thermally grown SiO2 vs. various conducting substrates of W, CoSif, TiN, TiW and A1.
Abstract: To identify the processing tolerance for the selective Cu chemical vapor deposition (Cu CVD) the processing windows were constructed with respect to deposition pressure and substrate temperature over thermally grown SiO2 vs. various conducting substrates of W, CoSif, TiN, TiW, and A1. It is found that the width of selective deposition window decreases as the deposition pressure is increased, and no selective Cu deposition can be obtained as the deposition pressure exceeds 120 mTorr. The lowest temperatures for Cu CVD on various SiO2 substrates including thermally grown, BPSG, TEOS, and PECVD SiO2 were determined so that processing windows for selective Cu deposition on variously patterned wafers can be constructed. The selective Cu deposition was also conducted on patterned substrates with submicrometer feature sizes using the PECVD SiO2 as the interlayer dielectric. The nucleation of Cu on the SiO2 surface obviously acts as the major limitation for achieving selective Cu CVD. We postulate that the Cu containing adspecies, Cu(hfac), on the insulating SiO2 surface may combine by surface diffusion, and these assembled adspecies then disproportionate by exchanging electrons with each other rather than transferring electrons through the substrate. Selective copper chemical vapor deposition (Cu CVD) has been regarded as a potential metallization process in deep submicrometer integrated circuit applications, not only because it deposits Cu films with low resistivity and high electromigration resistance but also because it offers a superior ability for via hole filling of high aspect ratio. I-4 In addition, the selective Cu deposition does not need a subsequent etching process, which is a major processing obstacle for applying Cu as interconnection material. ~-6 Most of the selective Cu CVD was conducted by using the Cu(R)2, abbreviated as Cu(II), and Cu(R)L, abbreviated as Cu(I), series precursors, where R is a ~-diketonate ligand and L is a Lewis base. 7-~I The Cu(R)L precursor has attracted much attention in recent years because of its relatively low deposition temperature and H 2 is not required as a reducing gas. The Cu(I) precursor is usually available in liquid form and delivered by the carrier gas, allowing accurate control of the gas flux. Many Cu(I) precursors have proved to be capable of depositing Cu film with low resistivity and some of these precursors have also exhibited the selective deposition behavior. 12-I~ It has been reported that Cu(I) series compounds deposit Cu by a disproportionati on reaction

Journal ArticleDOI
TL;DR: The results of experimental studies on the manufacture of amorphous carbon layers by a new method of r.f. dense plasma CVD are presented in this article, where the idea of this method is to excite a plasma in methane or other hydrocarbons in an electric field at a relatively high gas pressure of about 50-400 Pa.

Journal ArticleDOI
TL;DR: In this article, it was shown that boron-carbide thin film diodes are insensitive to the morphology of the film and the semiconductor properties of the material do not appear to depend upon crystallite size and the extent of long range order.

Journal ArticleDOI
TL;DR: SrTiO 3 and (Ba, Sr)TiO3 thin films were fabricated on Si and Pt/TaO 2 /Si substrates by chemical vapor deposition (CVD) using Sr(DPM) 2, Ba(DMP) 2, Ti(O-i-C 3 H 7 ) 4, and O 2 where DPM is dipivaloylmethanate or formally 2,2,6,6-tetramethyl-3,5-heptanedionate.
Abstract: SrTiO 3 and (Ba, Sr)TiO 3 thin films were fabricated on Si and Pt/TaO 2 /Si substrates by chemical vapor deposition (CVD) using Sr(DPM) 2 , Ba(DPM) 2 , Ti(O-i-C 3 H 7 ) 4 , and O 2 where DPM is dipivaloylmethanate or formally 2,2,6,6-tetramethyl-3,5-heptanedionate. The deposition system was operated in both thermal CVD mode and electron cyclotron resonance (ECR) plasma CVD mode. Variations in individual Sr and Ti deposition rates with differing deposition conditions were investigated. The SrTiO 3 and (Ba, Sr)TiO 3 films were characterized with a view to discussing the step-coverage, crystal structure, and electrical properties. The step-coverage over the 300 nm wide SiO 2 lines, with 500 nm height and 500 nm spacing, was 30 to 40%. The 40 to 100 nm SrTiO 3 films, through the postdeposition annealing process, showed dielectric constants >140 with a leakage current density level <10 -7 A/cm at 1 V. The prospects for applying the CVD (Ba, Sr)TiO 3 films to giga-bit dynamic random access memory storage capacitors are discussed

Journal ArticleDOI
TL;DR: In this article, the effects of N2/SiH4 gas flow on deposition rate, refractive index, composition, chemical bonds, and etch rate were studied by ellipsometry, MeV ion beam analysis techniques, and Fourier transform infrared spectroscopy.
Abstract: Silicon nitride thin films have been deposited via distributed electron cyclotron resonance plasma‐enhanced chemical vapor deposition, without intentional substrate heating, using SiH4 and N2 gas mixtures. The effects of N2/SiH4 gas flow (1.5–19) and microwave power (800–1500 W) on deposition rate, refractive index, composition, chemical bonds, and etch rate were studied by ellipsometry, MeV ion beam analysis techniques, and Fourier transform infrared spectroscopy. All parameters examined indicate that a highly diluted SiH4 gas phase and a microwave power of 1500 W help to prepare quasistoichiometric films with a high density (2.9 g/cm3) and a refractive index of 1.98. The effects of film density and film stoichiometry (N/Si) on refractive index are discussed through the Lorentz–Lorenz relation. The first electric results show that, under the optimized deposition parameters, a critical field of 2.3 MV/cm and an interface state density of 5×1010 eV−1 cm−2 can be achieved.

Journal ArticleDOI
TL;DR: In this article, nearly stoichiometric silicon, germanium, and tin nitride thin films were deposited from the corresponding homoleptic dimethylamido complexes M (NMe2)4 (M=Si, Ge, Sn; Me=CH3), and an ammonia plasma at low substrate temperatures (<400°C).
Abstract: Nearly stoichiometric silicon, germanium, and tin nitride thin films were deposited from the corresponding homoleptic dimethylamido complexes M (NMe2)4 (M=Si, Ge, Sn; Me=CH3), and an ammonia plasma at low substrate temperatures (<400 °C). Tin nitride films were also deposited from Sn (NMe2)4 and ammonia without plasma activation. The films showed little (

PatentDOI
TL;DR: An improved wear-resistant protective coating for the surfaces of magnetic recording media devices that is formed of fluorinated diamond-like carbon and deposited by a plasma enhanced chemical vapor deposition process or other suitable methods to provide superior friction reducing and stiction reducing properties is described in this article.