scispace - formally typeset
Search or ask a question

Showing papers on "X-ray lithography published in 2000"


Journal ArticleDOI
Takashi Ito1, Shinji Okazaki
31 Aug 2000-Nature
TL;DR: Although the introduction of shorter-wavelength light sources and resolution-enhancement techniques should help maintain the current rate of device miniaturization for several more years, a point will be reached where optical lithography can no longer attain the required feature sizes.
Abstract: The phenomenal rate of increase in the integration density of silicon chips has been sustained in large part by advances in optical lithography--the process that patterns and guides the fabrication of the component semiconductor devices and circuitry. Although the introduction of shorter-wavelength light sources and resolution-enhancement techniques should help maintain the current rate of device miniaturization for several more years, a point will be reached where optical lithography can no longer attain the required feature sizes. Several alternative lithographic techniques under development have the capability to overcome these resolution limits but, at present, no obvious successor to optical lithography has emerged.

764 citations


Patent
09 Nov 2000
TL;DR: In this paper, a method and system of interference lithography (also known as interferometric lithography or holographic lithography) which utilizes phase-locked, scanning beams is presented.
Abstract: A method and system of interference lithography (also known as interferometric lithography or holographic lithography) which utilizes phase-locked, scanning beams (so-called scanning beam interference lithography, or SBIL). The invention utilizes a high-precision stage (30) that moves a substrate (17) under overlapped and interfering pairs of coherent beams. The overlapped beams interfere, generating fringes, which form a pattern 'brush' for subsequent writing of periodic and quasi-periodic patterns on the substrate. The phase of the fringes in the overlapped region is phase-locked to the motion of the precision stage. The invention includes methods for forming, overlapping, and phase-locking interfering pairs of beams on a variety of substrates; methods for measuring and controlling the period, phase, and angular orientation of fringes generated by the overlapping beams; and methods for measuring and controlling the effects of stage mechanical and thermal drift and other disturbances during the writing process.

265 citations


Journal ArticleDOI
TL;DR: In this paper, the nano-print process is done in a single layer as well as in a multilayer resist scheme with subsequent O2-plasma etching and metal lift-off.
Abstract: We demonstrate the nanoimprint lithography (NIL) technique with sub 100 nm resolution, on 6 in. Si substrates. The pattern transfer is performed using a specially designed NIL machine optimized to achieve a very high degree of parallelism between stamp and substrate. The stamp is made with the help of electron beam lithography and Ni electroplating achieving features below 100 nm in size. The nanoimprint process is done in a single layer as well as in a multilayer resist scheme with subsequent O2-plasma etching and metal lift-off.

207 citations



Journal ArticleDOI
TL;DR: In this paper, the authors describe the process of deep x-ray lithography using epoxy negative photoresist SU-8 and characterise the resist, softbake, exposure, post exposure bake, and development of the resist.

153 citations


Journal ArticleDOI
TL;DR: In this paper, the fabrication of Fresnel zone plates with a 75 nm minimum feature size and circular gratings with a 20 nm minimum linewidth in polymethyl methacrylate using nanoimprint lithography, and in metals by means of a lift-off technique was demonstrated.
Abstract: We demonstrated the fabrication of Fresnel zone plates with a 75 nm minimum feature size and circular gratings with a 20 nm minimum linewidth in polymethyl methacrylate using nanoimprint lithography, and in metals by means of a lift-off technique. Observation of sharp Moire patterns indicated the high fidelity of nanoimprint lithography in pattern duplication. Our results showed that nanoimprint lithography is a promising technology for patterning integrated optics.

149 citations



Journal ArticleDOI
TL;DR: X-ray LIGA (Lithography, Electrogrowth, Moulding) is one of today's key technologies in microfabrication and upcoming modern (meso)-nano fabrication, already used and anticipated for micromechanics (micromotors, microsensors, spinnerets, etc.), micro-optics, micro-hydrodynamics (fluidic devices), microbiology, in medicine, in biology, and in chemistry for microchemical reactors.

96 citations


Journal ArticleDOI
TL;DR: In this article, a room-temperature nano-imprinting method using solvent vapor treatment of the polymer film on a substrate was demonstrated, where the mold or mask patterns down to 60 nm can well be transferred onto the polymer print without any problem of polymer adhering to the mold.
Abstract: We demonstrate room-temperature nanoimprint lithography using solvent vapor treatment of the polymer film on a substrate. In this method, the film treated with the solvent vapor is pressed with a mold at room temperature, requiring no heating that has been needed for the lithography. We show that the mold or mask patterns down to 60 nm can well be transferred onto the polymer film without any problem of the polymer adhering to the mold. The vapor treatment of the dried polymer film results in lowering both the viscosity and the glass transition temperature, thereby allowing for the room-temperature lithography. This room-temperature imprinting should make the imprint lithography more valuable for practical applications.

94 citations


Journal ArticleDOI
B.E. Maile, W. Henschel, Heinrich Kurz, B. Rienks1, R. Polman1, P. Kaars1 
11 Jul 2000
TL;DR: In this article, the authors evaluated and optimized the ultra-high resolution and overlay performance of a Leica EBPG-5000 TFE electron beam lithography system for 3D-device integration.
Abstract: For advanced nanoelectronic device concepts bridging the extended CMOS-world with the ultimate solution of single electron transistors (SETs), reliable lithography in the 10 nm (decanometer) regime has gained top priority in the past. Additionally, any type of nanoscopic 3D-device integration requires an overlay accuracy on the few-nm level. There is, however, a discrepancy between minimum feature sizes and overlay performance usually obtained with current electron beam lithography systems. We have evaluated and optimized the ultra-high resolution and overlay performance of a Leica EBPG-5000 TFE electron beam lithography system.

81 citations


Patent
09 Nov 2000
TL;DR: In this paper, a high-precision stage that moves a substrate under overlapped and interfering pairs of coherent beams is used to generate fringes for subsequent writing of periodic and quasi-periodic patterns on the substrate.
Abstract: A method and system of interference lithography (also known as interferometric lithography or holographic lithography) which utilizes phase-locked, scanning beams (so-called scanning beam interference lithography, or SBIL). The invention utilizes a high-precision stage that moves a substrate under overlapped and interfering pairs of coherent beams. The overlapped beams interfere, generating fringes, which form a pattern “brush” for subsequent writing of periodic and quasi-periodic patterns on the substrate. The phase of the fringes in the overlapped region is phase-locked to the motion of the precision stage. The invention includes methods for forming, overlapping, and phase-locking interfering pairs of beams on a variety of substrates; methods for measuring and controlling the period, phase, and angular orientation of fringes generated by the overlapping beams; and methods for measuring and controlling the effects of stage mechanical and thermal drift and other disturbances during the writing process.

Proceedings ArticleDOI
08 Nov 2000
TL;DR: The SIA roadmap defines the technologies needed to meet this challenge as discussed by the authors, and one of the fundamental requirements for lithography with a resolution of 100 nm and below is the development of new high-performance optical designs for projection lenses.
Abstract: The continuing trend towards higher integration density of microelectronic circuits requires steadily decreasing feature sizes. The SIA roadmap defines the technologies needed to meet this challenges. One of the fundamental requirements for lithography with a resolution of 100 nm and below is the development of new high-performance optical designs for projection lenses.

Journal ArticleDOI
TL;DR: In this paper, a microcolumn array has been designed, fabricated, and tested for simultaneous operation at a 50 MHz beam blanking rate at a 2 cm pitch and operating at 1 keV.
Abstract: A microcolumn array has been designed, fabricated, and tested. The 2×2 array has a 2 cm pitch and operates at 1 keV. Key components include vertical interconnects, silicon low-distortion octupole deflectors, miniature long-range flexure-based tip positioners, and low-power thermal field emitters. Initial results show no observable crosstalk between columns during simultaneous operation at a 50 MHz beam blanking rate. Preliminary lithography results are presented.

Journal ArticleDOI
23 Jan 2000
TL;DR: In this paper, the TIEGA process was used for PTFE microfabrication by direct writing with synchrotron radiation (SR) direct photo-etching, and the resulting microstructure can be used to create cylindrical, helical, pyramidal, ellipsoidal, and other nonplanar objects.
Abstract: This paper presents rapid three-dimensional microfabrication technologies for PTFE by direct writing with the TIEGA process, a LIGA-like process which replaces hard X-ray lithography with synchrotron radiation (SR) direct photo-etching. The etching rates of this process are of the order of 6-100 /spl mu/m/min, depending on the photon flux of the SR light. An X-ray lathe has been modified into an SR etching lathe to form cylindrical, helical, pyramidal, ellipsoidal, and other nonplanar objects. A metallic wire covered with a PTFE sheet is rotated and/or moved while being irradiated with SR through a mask. Moreover, direct writing without using any masks has been developed, by combining a scanning stage with a high degree of freedom under an He atmosphere, for creating any microstructure. The capabilities of these technologies and initial fabrication results are described here.

Journal ArticleDOI
TL;DR: In this paper, a laser-plasma soft-x-ray source based on a cryogenic-xenon liquid-jet target was proposed for extreme ultraviolet (EUV) projection lithography and proximity x-ray lithography.

Proceedings ArticleDOI
21 Jul 2000
TL;DR: In this article, a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams was discussed, which overcomes the limitations of space-charge interactions and low voltage operation.
Abstract: Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs

Journal ArticleDOI
TL;DR: In this paper, diamond-like photonic structures in PMMA resist and their use as porous templates for transferring three-dimensional patterns to metals or dielectrics were reported, where the pattern transfers from the PMMA templates to a metal (copper) and a high refractive index dielectric (titania) were achieved by electrodeposition and sol-gel filling techniques, respectively.
Abstract: We report on the fabrication of diamond-like photonic structures in PMMA resist and their use as porous templates for transferring three-dimensional patterns to metals or dielectrics Following the original “three drilling holes” approach first proposed by Yablonovitch, we used three consecutive exposures of PMMA resist to an x-ray beam through a triangular lattice of holes A submicronic patterning was thus obtained in thick PMMA layers (>6 μm) Optical characterizations of 13 μm period templates showed a well-defined photonic gap in the midinfrared The pattern transfers from the PMMA templates to a metal (copper) and a high refractive index dielectric (titania) were achieved by the electrodeposition and sol–gel filling techniques, respectively Three-dimensional metallic structures of 13 μm lattice constant were obtained with extreme regularity over a thickness of ∼6 μm, thereby providing a way to build submicrometer photonic band gap materials for optical wavelengths

Journal ArticleDOI
TL;DR: In this paper, the authors describe the electron optical column used in the M×M direct-write system, which is capable of meeting the resolution requirements of all future ITRS nodes and has a significant cost of ownership advantage over masked technologies.
Abstract: Electron beam direct-write lithography systems are capable of meeting the resolution requirements of all future ITRS nodes and have a significant cost of ownership advantage over masked technologies, but these systems typically have very poor throughput due to space charge limitations. Ion Diagnostics has developed a multicolumn, multibeam (M×M™) direct-write system that circumvents the space charge limitations by spreading the electron current over the wafer. The resulting lithography system can achieve critical dimensions of less than 100 nm with production throughputs greater than 60 wafers per hour, independent of wafer size. In this article we describe the electron optical column used in this system. We have developed a novel, microfabricated electron gun that produces 32 parallel electron beams that are individually controlled and blanked and contain deflectors that allow the gun optics to act as a perfect lens. Each column is 2 cm×2 cm and can align and scan the 32 beams in parallel on the wafer. The wafer voltage is typically held at 50–100 kV, and backscattered electrons are collected for imaging and alignment information. Theoretical results and some performance results for a prototype column are presented.

Proceedings ArticleDOI
21 Jul 2000
TL;DR: In this article, the authors describe a laser-plasma source based on a cryogenic xenon liquid-jet target suitable for extreme ultraviolet (EUV) projection lithography, and present the first preliminary laser-to-EUV conversion efficiencies, although under non-optimized conditions, for the source.
Abstract: We describe a laser-plasma source based on a cryogenic xenon liquid-jet target suitable for extreme ultraviolet (EUV) projection lithography. Recent improvements in the stability of the xenon jet allows efficient laser-plasma operation several millimeters away from the nozzle orifice. We present the first preliminary laser-to-EUV conversion efficiencies, although under non-optimized conditions, for the source.

Patent
17 Aug 2000
TL;DR: In this paper, a maskless plasma-formed ion beam lithography tool for patterning of sub-50 nm features on large area flat or curved substrate surfaces is presented, which does not require an accelerator column and electrostatic beam scanning components.
Abstract: A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

Journal ArticleDOI
TL;DR: In this paper, the first diamond-like photonic structures with 1.3 μm lattice constants were fabricated in poly(methylmethacrylate) resist using three consecutive exposures to an x-ray beam through a triangular lattice of holes.
Abstract: We report the fabrication and optical characterization of diamond-like photonic structures with 1.3 μm lattice constants. In analogy with the first Yablonovite obtained by a mechanical drilling of a dielectric material, the structures are fabricated in poly(methylmethacrylate) resist using three consecutive exposures to an x-ray beam through a triangular lattice of holes. Up to six crystal periods are obtained in a 6.2-μm-thick resist. The measured reflection and transmission spectra show well contrasted photonic gaps in agreement with numerical simulations. This demonstrates the good optical quality of the structures that can be used as porous templates for transferring the diamond-like pattern to high-refractive-index dielectrics or metals.

Proceedings ArticleDOI
05 Jul 2000
TL;DR: In this paper, the authors show that for deep-UV lithography projection tools with no chromatic aberration in the imaging lens, even the very narrow bandwidth of an excimer laser may lead to image degradation.
Abstract: In many respects, excimer lasers are almost ideal light sources for optical lithography applications. Their narrow bandwidth and high power provide tow of the main characteristics required of a light source for high- resolution imaging. However, for deep-UV lithography projection tools with no chromatic aberration in the imaging lens, even the very narrow bandwidth of an excimer laser may lead to image degradation.

Proceedings ArticleDOI
21 Jul 2000
TL;DR: In this article, the pattern on a stamp is transferred into a polymer layer on the substrate by repeating a step-and-stamp cycle, and the resist layer is used as etching mask or for fabrication of interdigitated aluminum fingers by lift-off.
Abstract: In this work we describe a new method suitable for large area nanoimprint lithography. In step&stamp process the pattern on a stamp is transferred into a polymer layer on the substrate by repeating a step&stamp cycle. The method is demonstrated by imprinting matrices of test structures on polymer-coated 100 mm silicon wafers. A new polymer, PPM, is used as resist in the experiments. The polymer has been developed to fulfill the demands of imprint lithography. Patterns with sizes down to 400 nm were imprinted into either 100 nm or 340 nm thick PPM resist. After thinning in oxygen plasma, the resist layer is used as etching mask or for fabrication of interdigitated aluminum fingers by lift-off.

Journal ArticleDOI
TL;DR: In this paper, a space-invariant interference lithography tool for 157 nm F2 laser was implemented, capable of creating dense line and space patterns with a spatial period of 91 nm.
Abstract: We have implemented a space-invariant interference lithography tool for 157 nm F2 lasers, capable of creating dense line and space patterns with a spatial period of 91 nm. No gratings or curved optics are required, allowing a simple and inexpensive tool for resist and process development at 157 nm. Initial patterning of several commercial and experimental resists has resulted in high contrast features with little line edge roughness and good cross-sectional profiles, indicating that the fundamental performance of acid-catalyzed resists patterned at 157 nm may meet lithography requirements for sub-50 nm features.

Journal ArticleDOI
TL;DR: In this article, the future of high-resolution lithography, a key technology that drives the semiconductor industry, is discussed and some of the key issues and speculate on how and when an alternative to optical lithography will be embraced by industry.

Journal ArticleDOI
TL;DR: In this paper, a diamond molds were fabricated by two types of fabrication processes, both of which use a conductive intermediate layer between the diamond surface and polymethylmethacrylate resist to prevent surface charge-up.
Abstract: Diamond molds were fabricated by two types of fabrication processes, both of which use a conductive intermediate layer between the diamond surface and polymethylmethacrylate (PMMA) resist to prevent surface charge-up. Using a PtPd intermediate layer, electron beam lithography and ion beam etching, a denting line pattern of 600 nm width and 70 nm depth was fabricated. Using a carbon intermediate layer, electron beam lithography, PtPd lift-off and oxygen ion beam etching, a convex line pattern of 600 nm width and 110 nm height was fabricated. These diamond molds were pressed into PMMA on a silicon substrate that was heated to a temperature of 150°C and kept at a pressure of 23.5 MPa until the temperature dropped below 90°C, and then the diamond mold was released from the PMMA. The convex line pattern of 600 nm width and 150 nm height was imprinted using a denting diamond mold. The denting pattern of 1100 nm width and 180 nm height was imprinted using a convex diamond mold. PMMA patterns were transferred well over the imprinted area by the diamond molds.

Patent
06 Jun 2000
TL;DR: In this article, the use of VCSELs simplifies the design of the electron beam column and improves the throughput and writing resolution of the multiple beam electron beam lithography system.
Abstract: Multiple beam electron beam lithography uses an array of vertical cavity surface emitting lasers (VCSELS) to generate laser beams, which are then converted to electron beams using a photocathode The electron beams are scanned across a semiconductor substrate or lithography mask to imprint a pattern thereon The use of VCSELs simplifies the design of the electron beam column and improves the throughput and writing resolution of the lithography system

Journal ArticleDOI
TL;DR: In this paper, a quantum point contact (QPC) with split gates patterned by imprint lithography is presented, where the Si mold with a split-gate pattern is embossed into a polymethylmethacrylate film located on top of the semiconductor.
Abstract: This article demonstrates the integration of imprint lithography into nanoelectronic device fabrication. We present a quantum point contact (QPC) with split gates patterned by imprint lithography. The semiconductor substrate is a modulation-doped GaAs/AlGaAs heterostructure with the two-dimensional electron gas located about 90 nm below the surface. A Si mold with a split-gate pattern is embossed into a poly(methylmethacrylate) film located on top of the semiconductor. The Schottky gates are fabricated by metal evaporation and liftoff. The gate tip separation ranges from 120 to 600 nm. Transport studies performed at T=2 K show conductance quantization with varying gate voltages. Measurements performed on a reference QPC with gates defined by electron beam lithography show similar results. This indicates that the imprint does not affect the electronic performance of the semiconductor.

Proceedings ArticleDOI
21 Jul 2000
TL;DR: In this article, the effect of highly attenuating materials on the sidewall slope of a spin-coated photoresist was investigated using Prolith/3DTM simulations.
Abstract: The thickness of the photoresist directly impacts the etch stand off and may impact the number of defects in the spin- coated film. However, the maximum imaging layer thickness for extreme ultraviolet lithography (EUVL) is limited by absorption of the radiation. Attenuation in photoresist materials at relevant EUV wavelengths was calculated with atomic extinction coefficients provided from Henke et al. The calculations indicated that photoresist materials have an optical density (O.D.) of 4.0 micrometer-1 (base e) so that 100 nm thick imaging layers have approximately 67% transmission at 13.4 nm wavelength. Using Prolith/3DTM (Finle Technologies, Austin, TX) simulations of the effect of highly attenuating materials on sidewall slope were done and shown to be small. Imaging experiments were performed in a commercially-available DUV resist material on the 10 X II microstepper and with an improved EUV resist formulation. The imaging results agreed well with the calculations. Top down and cross-section images showed good sidewall profiles in 95 nm thick films at the nominal dose because over 68% of the energy was transmitted through the film. When the thickness of the film was increased, the dose was increased slightly to compensate for the absorption while good sidewall profiles and linearity were maintained. Photoresist thicknesses as high as 145 nm were imaged with a 35% increase in dose. Results are also shown for a single layer resist exposed at 175 nm thickness with only slight sidewall degradation. It is shown that the imaging layer thickness for 13.4 nm lithography is likely to be 120 +/- 15 nm. If 11.4 nm wavelength radiation is chosen for EUV lithography, it is shown that thicknesses of 170 nm is possible.© (2000) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Journal ArticleDOI
TL;DR: In this paper, a 50 nm generation proximity x-ray lithography (PXRL) system is proposed using shorter wavelengths of exposure light down to around 3 A. The illumination system uses a mirror at 1° incidence angle such as in the Canon stepper XRA-1000, which can be realized by coating with a fourth or fifth period metal such as Co or Rh.
Abstract: In this article, a 50 nm generation proximity x-ray lithography (PXRL) system is proposed using shorter wavelengths of exposure light down to around 3 A. The illumination system uses a mirror at 1° incidence angle such as in the Canon stepper XRA-1000, which can be realized by coating with a fourth or fifth period metal such as Co or Rh. The resist containing chemical elements such as Cl, S, P, Si, and Br whose x-ray absorption edge lies in the wavelength band of the exposure light can yield a strong absorption using this system. Therefore, a resist material containing such elements is highly sensitive when applied to the 50 nm system. The average wavelength of power absorbed by the resist depends on the elements contained in the resist. This suggests that the resolution limits also depend on the resist material even for the same exposure system. Therefore, this system should be extendible down to the 35 nm generation by using such a resist and a thick diamond mask membrane. The system described assumes t...