scispace - formally typeset
Search or ask a question

Showing papers by "Eric M. Gullikson published in 2008"


Journal ArticleDOI
20 Jun 2008-Science
TL;DR: The confinement of the nonlinear interaction of light with matter to a single wave cycle is reported on and its utility for time-resolved and strong-field science is demonstrated.
Abstract: Nonlinear optics plays a central role in the advancement of optical science and laser-based technologies. We report on the confinement of the nonlinear interaction of light with matter to a single wave cycle and demonstrate its utility for time-resolved and strong-field science. The electric field of 3.3-femtosecond, 0.72-micron laser pulses with a controlled and measured waveform ionizes atoms near the crests of the central wave cycle, with ionization being virtually switched off outside this interval. Isolated sub-100-attosecond pulses of extreme ultraviolet light (photon energy {approx} 80 electron volts), containing {approx} 0.5 nanojoule of energy, emerge from the interaction with a conversion efficiency of {approx} 10{sup -6}. These tools enable the study of the precision control of electron motion with light fields and electron-electron interactions with a resolution approaching the atomic unit of time ({approx} 24 attoseconds).

1,330 citations


Journal ArticleDOI
TL;DR: These are believed to be the first published experimental data for the refractive index of boron carbide films in the photon energy range above 160 eV and for the near-edge x-ray absorption fine structure regions around the borons K, carbon K, and oxygen K absorption edges.
Abstract: This work discusses the experimental determination of the optical constants (refractive index) of DC-magnetron-sputtered boron carbide films in the 30-770 eV photon energy range. Transmittance measurements of three boron carbide films with thicknesses of 54.2, 79.0, and 112.5 nm were performed for this purpose. These are believed to be the first published experimental data for the refractive index of boron carbide films in the photon energy range above 160 eV and for the near-edge x-ray absorption fine structure regions around the boron K (188 eV), carbon K (284.2 eV), and oxygen K (543.1 eV) absorption edges. The density, composition, surface chemistry, and morphology of the films were also investigated using Rutherford backscattering, x-ray photoelectron spectroscopy, atomic force microscopy, scanning electron microscopy, and extreme ultraviolet reflectance measurements.

72 citations


Journal ArticleDOI
TL;DR: The performance of a critical-angle transmission (CAT) grating in the EUV and soft x-ray band is demonstrated that for the first time combines the advantages of transmission gratings with the superior broadband efficiency of blazed reflection gratings via reflection from nanofabricated periodic arrays of atomically smooth nanometer-thin silicon mirrors.
Abstract: Diffraction gratings are ubiquitous wavelength dispersive elements for photons as well as for subatomic particles, atoms, and large molecules. They serve as enabling devices for spectroscopy, microscopy, and interferometry in numerous applications across the physical sciences. Transmission gratings are required in applications that demand high alignment and figure error tolerances, low weight and size, or a straight-through zero-order beam. However, photons or particles are often strongly absorbed upon transmission, e.g., in the increasingly important extreme ultraviolet (EUV) and soft x-ray band, leading to low diffraction efficiency. We demonstrate the performance of a critical-angle transmission (CAT) grating in the EUV and soft x-ray band that for the first time combines the advantages of transmission gratings with the superior broadband efficiency of blazed reflection gratings via reflection from nanofabricated periodic arrays of atomically smooth nanometer-thin silicon mirrors at angles below the critical angle for total external reflection. The efficiency of the CAT grating design is not limited to photons, but also opens the door to new, sensitive, and compact experiments and applications in atom and neutron optics, as well as for the efficient diffraction of electrons, ions, or molecules.

46 citations


Journal ArticleDOI
TL;DR: The metrologies to determine the reflected phase of an EUV multilayer mirror using the photoelectric effect are developed and allows one to determined the optic's impulse response and hence its pulse characteristics.
Abstract: Extreme ultraviolet (EUV) optics play a key role in attosecond science since only with higher photon energies is it possible to achieve the wide spectral bandwidth required for ultrashort pulses. Multilayer EUV mirrors have been proposed and are being developed to temporally shape (compress) attosecond pulses. To fully characterize a multilayer optic for pulse applications requires not only knowledge of the reflectivity, as a function of photon energy, but also the reflected phase of the mirror. We develop the metrologies to determine the reflected phase of an EUV multilayer mirror using the photoelectric effect. The proposed method allows one to determine the optic's impulse response and hence its pulse characteristics.

43 citations


Journal ArticleDOI
TL;DR: Simulations of the possible normal incidence reflective properties in the soft x-ray range of 100-600 eV are given, predicting that reflectivities of more than 31% for Cr/Sc and 5.8% for Ni/V can be achieved if better control of the impurities and the deposition process is employed.
Abstract: Cr/Sc and Ni/V multilayers, intended as normal incidence soft x-ray mirrors and Brewster angle polarizers, have been synthesized by employing a novel modulated low-energy and high-flux ion assistan ...

35 citations


Journal ArticleDOI
TL;DR: In this paper, the soft x-ray reflectivity (SXR) of Cr/Sc multilayer with bilayer thickness of = 1.56 nm was increased by 100% by an intentional introduction of nitrogen during magnetron sputtering.
Abstract: Soft x-ray reflectivity (SXR) of Cr/Sc multilayer with bilayer thickness of =1.56 nm was increased by 100% by an intentional introduction of nitrogen during magnetron sputtering. Multilayers depos ...

29 citations


Proceedings ArticleDOI
TL;DR: In this paper, the development of reflective optics for the x-ray offset mirror systems of the Linac Coherent Light Source (LCLS), a 0.15-1.5 nm free-electron laser (FEL) at the Stanford Linear Accelerator Center (SLAC), is discussed.
Abstract: This manuscript discusses the development of reflective optics for the x-ray offset mirror systems of the Linac Coherent Light Source (LCLS), a 0.15-1.5 nm free-electron laser (FEL) at the Stanford Linear Accelerator Center (SLAC). The unique properties (such as the high peak brightness) of the LCLS FEL beam translate to strict limits in terms of materials choice, thus leading to an x-ray mirror design consisting of a reflective coating deposited on a silicon substrate. Furthermore, the physics requirements for these mirrors result in stringent surface figure and finish specifications that challenge the state-of-the-art in x-ray substrate manufacturing, thin film deposition, and metrology capabilities. Recent experimental results on the development, optimization, and characterization of the LCLS soft x-ray mirrors are presented in this manuscript, including: precision surface metrology on the silicon substrates, and the development of boron carbide reflective coatings with reduced stress and thickness variation < 0.14 nm rms across the 175-mm clear aperture area of the LCLS soft x-ray mirrors.

29 citations


Proceedings ArticleDOI
TL;DR: Voronov et al. as mentioned in this paper used anisotropic KOH etching of a Si wafer to obtain smooth and flat echellette facets, and then they fabricated and tested a Sc/Si multilayer sliced grating suitable for EUV applications, which is a first prototype based on the suggested technique.
Abstract: State of the art soft x-ray spectroscopy techniques like Resonant Inelastic X-ray Scattering (RIXS) require diffraction gratings which can provide extremely high spectral resolution of 105-106 This problem may be addressed with a sliced multilayer grating with an ultra-high groove density (up to 50,000 mm-1) proposed in the recent publication [Voronov, D L, Cambie, R, Feshchenko, R M, Gullikson, E, Padmore, H A, Vinogradov, A V, Yashchuk, V V, Proc SPIE 6705, 67050E (2007)] It has been suggested to fabricate such a grating by deposition of a soft x-ray multilayer on a substrate which is a blazed saw-tooth grating (echellette) with low groove density Subsequent polishing applied to the coated grating removes part of the coating and forms an oblique-cut multiline structure that is a sliced multilayer grating The resulting grating has a short-scale periodicity of lines (bilayers), which is defined by the multilayer period and the oblique-cut angle We fabricated and tested a Sc/Si multilayer sliced grating suitable for EUV applications, which is a first prototype based on the suggested technique In order to fabricate an echellette substrate, we used anisotropic KOH etching of a Si wafer The etching regime was optimized to obtain smooth and flat echellette facets A Sc/Si multilayer was deposited by dc-magnetron sputtering, and after that it was mechanically polished using a number of diamond pastes The resulting sliced grating prototype with ~;;270 nm line period has demonstrated a dispersive ability in the 41-49 nm photon wavelength range with a diffraction efficiency of ~;;7percent for the optimized 38th order assigned to the echellette grating of 10 mu m period

15 citations


Proceedings ArticleDOI
TL;DR: In this article, the authors measured the contamination rate from benzene, tert-butanol and diphenyl sulfide in EUV photoresist and showed that after 8 hours of exposure there was not enough contamination to be significantly measurable.
Abstract: One of the remaining challenges for the commercialization of EUV lithography is the lifetime of the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon species – benzene, tert-butanol and diphenyl sulfide – which are thought to be representative of commonly outgassed species from EUV photoresist were selected. The goal of this work was to measure the contamination rate from these three species and to be able to draw conclusions about other species. The results of the experiments showed that after 8 hours of exposure there was not enough contamination to be significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved with vacuum grease and carbon tape.

12 citations


Journal ArticleDOI
TL;DR: An independent sensitivity calibration of two baseline resists from the SEMATECH Berkeley MET tool performed at the Advanced Light Source Calibrations and Standards beamline shows the baseline resists to be approximately 1.9 times faster than previously thought based on calibration against the long standing resist standard.
Abstract: One of the major challenges facing the commercialization of extreme ultraviolet (EUV) lithography remains simultaneously achieving resist sensitivity, line-edge roughness, and resolution requirement. Sensitivity is of particular concern owing to its direct impact on source power requirements. Most current EUV exposure tools have been calibrated against a resist standard with the actual calibration of the standard resist dating back to EUV exposures at Sandia National Laboratories in the mid 1990s. Here we report on an independent sensitivity calibration of two baseline resists from the SEMATECH Berkeley MET tool performed at the Advanced Light Source Calibrations and Standards beamline. The results show the baseline resists to be approximately 1.9 times faster than previously thought based on calibration against the long standing resist standard.

10 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet lithography (EUVL) and suggest a new mask structure to minimize shadowing effects.
Abstract: In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts of H-V bias reduction in wafer scale correspond to 80% (2.46–0.48nm) by CSM and 70% (2.23–0.65nm) by MET test results. Considering the fact that H-V bias in the MET is similar with that of simulation using the resist model, the degree of H-V bias in the alpha demo tool (ADT) is supposed to be much higher than that of MET due to its higher incident angle (θ=6°). Our final goal is to develop a thin absorber EUVL mask which has a low H-V bias, high EUV printability and DUV contrast, and sufficient optical density at the border. To achieve this, blind layer treatment and integration with anti-reflective coating layer are in progress.In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts ...

Proceedings ArticleDOI
TL;DR: In this paper, a comprehensive understanding of substrate defect printability is presented and printability specifications of EUV mask substrate defects are discussed, as well as a detailed analysis of the printability properties of substrate defects.
Abstract: Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure tool. SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images from exposed wafers. In this paper, a comprehensive understanding of substrate defect printability will be presented and printability specifications of EUV mask substrate defects will be discussed.

Journal ArticleDOI
TL;DR: In this paper, an experimental study of Cr∕Sc multilayer mirrors optimized for the detection of the nitrogen Kα-emission line (λ=3.16nm) at a grazing incidence around 23°, for electron probe microanalysis applications is presented.
Abstract: The authors present an experimental study of Cr∕Sc multilayer mirrors optimized for the detection of the nitrogen Kα-emission line (λ=3.16nm) at a grazing incidence around 23°, for electron probe microanalysis applications. The multilayers were deposited onto silicon substrates using a dc magnetron sputtering system. They were characterized with grazing incidence copper Kα x-ray reflectometry and atomic force microscopy, as well as with at-wavelength reflectometry using synchrotron radiation. These various characterization methods pointed out that the interfacial roughness of these multilayers increases drastically with the number of bilayers. Growth parameters were then optimized, and it is shown that the structure and reflectivity of such multilayers can be considerably improved by optimizing the sputter gas pressure during the deposition process. Reflectivity higher than 37% were measured at 22.3° grazing angle for the nitrogen Kα-emission line.

Proceedings ArticleDOI
TL;DR: The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of silicon carbide (SiC), optical substrates and metering structure alike.
Abstract: The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 a Ne VII emission line, formed in solar transition region plasma at ~500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of ~0.2 arc seconds and operate at a cadence of ~5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

Proceedings ArticleDOI
TL;DR: In this paper, the authors measured the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples and found that resist components had little measurable effect from resist components on optics contamination with modest EUV exposure.
Abstract: Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species and tests show little measurable effect from resist components on optics contamination with modest EUV exposure doses.

Proceedings ArticleDOI
TL;DR: In this article, the performance of the Co/C reflective multilayer mirror was evaluated at the ALS 6.2 beamline to quantify the graded periods on concave and convex mirrors of the Schwarzschild objective.
Abstract: The paper deals with the recent progress in fabrication of the graded multilayer mirrors to be used in a 21X Schwarzschild objective operating at the wavelengths about 4.5 nm ("carbon window" region). The graded Co/C reflective multilayer coatings were fabricated using DC-magnetron sputtering. Mask-assisted deposition was used to create the required radial variation of the multilayer period. Accuracy of the multilayer's parameter measurements and quality of nm-scale layer deposition were improved significantly with application of a number of new methods and approaches. The soft X-ray measurements were conducted at the ALS 6.3.2 beamline to quantify the graded periods on concave and convex mirrors of the Schwarzschild objective. They demonstrated that the reflectivity curves were adjusted with the accuracy of about 0.008 nm (0.3%) over the entire mirror surfaces. The total throughput of the objective with full working aperture (NA ~ 0.2) is estimated to be as high as 0.25%.© (2008) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Proceedings ArticleDOI
07 Feb 2008
TL;DR: In this article, a spin-on-glass process is used to render complex diamond-turned optics compatible for use in the extreme ultraviolet (EUV) regime, which is shown in detail and experimental results are presented demonstrating the effectiveness of the process.
Abstract: Mirror substrates intended for use in the extreme ultraviolet (EUV) regime have exceedingly stringent requirements on surface finish. Rendering a substrate reflec tive at EUV wavelength and near normal angles of incidence requires these mirrors to be coated with high-quality multilayer coatings forming a Bragg reflector. These coatings typically have period requirements on the order of 7 nm. It is evident that any appreciable roughness on this scale would destroy the resonant behavior the Bragg structures significantly degrading the reflectivity of the mirror. A rule of thumb is that approximately 2% reflectivity is lost per A of surface roughness on the substrate. These requirements significantly increase the cost and fabrication time for EUV optics. This is especially true when dealing with non-conventional shapes such as toroids and fly-eye mirrors. Here we present a spin-on-glass process capable of generating super-polished parts from inexpensive substrates. The method is used to render complex diamond-turned optics compatible for use in the EUV regime. The method is described in detail and experimental results are presented demonstrating the effectiveness of the process. Issues of figure control are also discussed. Keywords: extreme ultraviolet, multilayer, roughness, diamond turning, spin-on-glass resist

Journal Article
TL;DR: In this article, Liang et al. studied the printability of multilayer phase defects on EUV mask blanks and found that the defect printability depends on not only the resist imaging of patterns on the mask, but also how the device patterns will be placed on the blank during e-beam writing.
Abstract: Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks Ted Liang, Erdem Ultanir, Guojing Zhang, Seh-Jin Park Intel Corporation, 2200 Mission College Blvd., Santa Clara, CA 94054 Erik Anderson, Eric Gullikson, Patrick Naulleau, Farhad Salmassi Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA Paul Mirkarimi, Eberhard Spiller, Sherry Baker Lawrence Livermore National Laboratory, Livermore, CA ABSTRACT The ability to fabricate defect-free mask blanks is a well-recognized challenge in enabling extreme ultraviolet lithography (EUVL) for semiconductor manufacturing. Both the specification and reduction of defects necessitate the understanding of their printability and how they are generated and grow during Mo-Si multilayer (ML) deposition. A ML phase defect can be depicted by its topographical profile on the surface as either a bump or pit, which is then characterized by height or depth and width. The complexity of such seemingly simple phase defects lies in the many ways they can be generated and the difficulties of measuring their physical shape/size and optical effects on printability. An effective way to study phase defects is to use a programmed defect mask (PDM) as ‘model’ test sample where the defects are produced with controlled growth on a ML blank and accurate placement in varying proximity to absorber patterns on the mask. This paper describes our recent study of ML phase defect printability with resist data from exposures of a ML PDM on the EUV micro-exposure tool (MET, 5X reduction with 0.3NA). 1. INTRODUCTION Projection lithography using EUV light at 13.5nm is the preferred choice for post-193nm optical patterning for high volume manufacturing of semiconductor devices 1 . One of the top three challenges for EUVL is the ability to produce quality ML blanks with zero defects 2 . Two major factors contribute to the risk in ML blank production: extremely stringent defect requirements due to the use of short wavelength of 13.5nm light and the complex and delicate steps of blank making process. For example, any defect causing a mere 3.5nm difference in the reflective EUV optical path will result in a 180 degree phase shift. While the engineering of the Mo-Si ML structure is well established and blanks can be routinely produced with good peak reflectivity and uniformity, the feasibility has yet to be demonstrated for producing of producing blanks meeting anticipated defect specifications 3 . Mask defect specifications are ultimately determined by the yield impact to device performance which correlates to resist CD (critical dimension as measured mostly by a SEM) variations in a particular lithographic patterning layer. Such methodology of gauging resist CD change is commonly referred as defect printability. However, specification for defects on ML blanks is not a trivial endeavor because of the many factors we shall consider, including not only the impact to resist imaging of patterns on the mask, but also how the device patterns will be placed on the blank during e-beam writing. For example, a ML defect located between two narrow lines may cause the lines to bridge, while the same defect may cause no harm if it were under or far away from the line. Therefore, it is not unreasonable to expect that most device layouts may tolerate the existence of a small number of defects on the ML blank. A useful ML blank may not necessarily be ‘defect-free’.