scispace - formally typeset
Search or ask a question

Showing papers by "ASML Holding published in 2019"


Journal ArticleDOI
TL;DR: A deep learning framework for high performance and large scale hotspot detection that uses feature tensor generation to extract representative layout features that fit well with convolutional neural networks while keeping the spatial relationship of the original layout pattern with minimal information loss.
Abstract: Detecting layout hotspots is a key step in the physical verification flow. Although machine learning solutions show benefits over lithography simulation and pattern matching-based methods, it is still hard to select a proper model for large scale problems and inevitably, performance degradation occurs. To overcome these issues, in this paper, we develop a deep learning framework for high performance and large scale hotspot detection. First, we use feature tensor generation to extract representative layout features that fit well with convolutional neural networks while keeping the spatial relationship of the original layout pattern with minimal information loss. Second, we propose a biased learning (BL) algorithm to train the convolutional neural network to further improve detection accuracy with small false alarm penalties. In addition, to simplify the training procedure and seek a better tradeoff between accuracy and false alarms, we extend the original BL to a batch BL algorithm. Experimental results show that our framework outperforms previous machine learning-based hotspot detectors in both ICCAD 2012 Contest benchmarks and large scale industrial benchmarks. Source code and trained models are available at https://github.com/phdyang007/dlhsd .

83 citations


Journal ArticleDOI
TL;DR: An analog all-optical implementation of a coherent Ising machine (CIM) based on a network of injection-locked multicore fiber lasers using spatial light modulators (SLMs) to solve several Ising Hamiltonians.
Abstract: Combinatorial optimization problems over large and complex systems have many applications in social networks, image processing, artificial intelligence, computational biology and a variety of other areas. Finding the optimized solution for such problems in general are usually in non-deterministic polynomial time (NP)-hard complexity class. Some NP-hard problems can be easily mapped to minimizing an Ising energy function. Here, we present an analog all-optical implementation of a coherent Ising machine (CIM) based on a network of injection-locked multicore fiber (MCF) lasers. The Zeeman terms and the mutual couplings appearing in the Ising Hamiltonians are implemented using spatial light modulators (SLMs). As a proof-of-principle, we demonstrate the use of optics to solve several Ising Hamiltonians for up to thirteen nodes. Overall, the average accuracy of the CIM to find the ground state energy was ~90% for 120 trials. The fundamental bottlenecks for the scalability and programmability of the presented CIM are discussed as well. For specific computation problems where electronic digital processors have shortcomings in simulating, an analog optical system may be a solution, Here, the authors present an analog all-optical implementation of a coherent Ising machine based on a network of injection-locked multicore fiber lasers.

74 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the interplay of temporal and spatial properties of attosecond pulses and showed that the divergence and focus position of the generated harmonics often strongly depend on their frequency.
Abstract: The shortest light pulses produced to date are of the order of a few tens of attoseconds, with central frequencies in the extreme UV range and bandwidths exceeding tens of electronvolts. They are often produced as a train of pulses separated by half the driving laser period, leading in the frequency domain to a spectrum of high, odd-order harmonics. As light pulses become shorter and more spectrally wide, the widely used approximation consisting of writing the optical waveform as a product of temporal and spatial amplitudes does not apply anymore. Here, we investigate the interplay of temporal and spatial properties of attosecond pulses. We show that the divergence and focus position of the generated harmonics often strongly depend on their frequency, leading to strong chromatic aberrations of the broadband attosecond pulses. Our argument uses a simple analytical model based on Gaussian optics, numerical propagation calculations, and experimental harmonic divergence measurements. This effect needs to be considered for future applications requiring high-quality focusing while retaining the broadband/ultrashort characteristics of the radiation.

53 citations


Journal ArticleDOI
TL;DR: In this paper, an overview of the existing knowledge regarding EUV-induced plasmas characteristics is provided, which describes common, as well as distinguishing, features of it in comparison with other Plasmas and discusses its interaction with solid materials.
Abstract: After a long period of relatively low interest, science related to effects in the Extreme Ultraviolet (EUV) spectrum range experienced an explosive boom of publications in the last decades A new application of EUV in lithography was the reason for such a growth Naturally, an intensive development in such area produces a snowball effect of relatively uncharted phenomena EUV-induced plasma is one of those While being produced in the volume of a rarefied gas, it has a direct impact onto optical surfaces and construction materials of lithography machines, and thus has not only scientific peculiarity, but it is also of major interest for the technological application The current article provides an overview of the existing knowledge regarding EUV-induced plasma characteristics It describes common, as well as distinguishing, features of it in comparison with other plasmas and discusses its interaction with solid materials This article will also identify the gaps in the existing knowledge and it will propose ways to bridge them

42 citations


Journal ArticleDOI
TL;DR: In this article, the weak interaction between the nucleus and the electrons in a chain of ytterbium isotopes is measured with tabletop atomic physics techniques, and the dependence of the interaction strength on the number of neutrons confirms the prediction by standard model.
Abstract: The weak force is the only fundamental interaction known to violate the symmetry with respect to spatial inversion (parity). This parity violation can be used to isolate the effects of the weak interaction in atomic systems, providing a unique, low-energy test of the standard model (see, for example, reviews1–3). These experiments are primarily sensitive to the weak force between the valence electrons and the nucleus, mediated by the neutral Z0 boson and dependent on the weak charge of the nucleus, Qw. The standard model parameter Qw was most precisely determined in caesium4,5 and has provided a stringent test of the standard model at low energy. The standard model also predicts a variation of Qw with the number of neutrons in the nucleus, an effect whose direct observation we are reporting here. Our studies, made on a chain of ytterbium isotopes, provide a measurement of isotopic variation in atomic parity violation, confirm the predicted standard model Qw scaling and offer information about an additional Z′ boson. The weak interaction between the nucleus and the electrons in a chain of Yb isotopes is measured with tabletop atomic physics techniques. The dependence of the interaction strength on the number of neutrons confirms the prediction by standard model.

41 citations


Proceedings ArticleDOI
26 Mar 2019
TL;DR: It is shown that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes and can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast.
Abstract: Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.

39 citations


Proceedings ArticleDOI
26 Sep 2019
TL;DR: In this paper, the application of high-k masks and attenuated phase-shift masks at diffraction level is studied and the authors show that mitigation of mask 3D effects is crucial for both good performance of both alternative-reticle types.
Abstract: Alternative reticles have the potential to improve EPE for low-k1 EUV lithography on multiple aspects, by reducing mask 3D effects and improving optical contrast. We study the application of high-k masks and attenuated phase-shift masks at diffraction level and show that mitigation of mask 3D effects, such as contrast fading, is crucial for both good performance of both alternative-reticle types. We present optimum embodiments for both mask types. We find that the optimum attenuated phase-shift mask (PSM) results in a phase shift of 1.2 π. The extra 0.2 π phase shift required for the EUV mask compared to its DUV counterpart is needed to compensate the strong mask 3D effects; the 1.2 π phase shift is crucial for good performance at small pitch and was found for all 3 materials studied in this work: Ru, Pd, and Mo. We show that our Rubased attenuated PSM embodiment results in a strong gain in normalized image log slope (NILS). <30% NILS gain can be achieved compared to a Ta-based reference mask. To demonstrate the generic applicability of the mask, we show NILS gain using the same attenuated PSM embodiment for different use cases for 0.33 and 0.55-NA EUV lithography, including regular contacts, DRAM patterns, and contacts through pitch. We show that the optimum mask-type choice is application dependent and present our recommendations in a mask-decision tree. We discuss the implications of using new reticle absorbers for scanner integration.

33 citations


Proceedings ArticleDOI
29 Aug 2019
TL;DR: This paper addresses implications of the high-NA leading to large mirror sizes, introduction of a central obscuration and an anamorphic lens design resulting in the transition from full to half field, and how they are solved in the tool.
Abstract: EUV technology with its state-of-the-art tool generation equipped with a Numerical Aperture (NA) of 0.33 and providing 13 nm resolution is on the brink of entering high volume manufacturing. Extending the roadmap down to a resolution of 8 nm requires a high-NA successor tool. ASML and ZEISS are jointly developing an EUV scanner system with an NA of 0.55 to enable the continuation of Moore’s law throughout the next decade. In this paper we motivate the top-level requirements of this high-NA tool, deduce implications on system design and present how they are solved in the tool. In particular, we address implications of the high-NA leading to large mirror sizes, introduction of a central obscuration and an anamorphic lens design resulting in the transition from full to half field. A consequence of the high-NA is a reduced depth of focus which is dealt with by an improved focus control of the system. The aberration level of the high-NA tool will be significantly reduced w.r.t. the NA 0.33 tool generation. This is achieved by extreme aspheres accompanied by an advanced mirror manufacturing process with corrections down to atomic scale. To enable mirror manufacturing to this precision the limits of mirror metrology are pushed out by transferring the whole measurement process into vacuum. Finally, we will give an update on the current status of the high-NA tool development and the build-up of the necessary infrastructure.

27 citations


Journal ArticleDOI
TL;DR: This work has shown that EUV scanners will extend Moore’s Law for the foreseeable future and view of further extension of EUV in the future will be discussed in this article.
Abstract: Highlights Amount of transistors on chip oubles every 1.5–2 years accordig to Moore’s law. Multiple patterning is required to obtain ∼10–16 nm half-pitch using immersion lithography. EUV scanners will extend Moore’s Law for the foreseeable future. View of further extension of EUV in the future will be discussed in this article.

27 citations


Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this paper, the authors proposed a dual-path approach towards zero reticle defectivity: EUV-compatible pellicle or zero particles towards reticle by advanced particle contamination control.
Abstract: With the introduction of the NXE:3400B scanner, ASML has brought EUV to High-Volume Manufacturing (HVM). In this context, ASML is pursuing a dual-path approach towards zero reticle defectivity: EUV-compatible pellicle or zero particles towards reticle by advanced particle contamination control. This paper will focus on the latter approach of advanced particle contamination control and will show that we are able to reduce particle contamination towards reticle to a level that is compatible with HVM requirements for sub-10nm node lithography.

27 citations


Journal ArticleDOI
TL;DR: In this article, a diagnostic approach using multi-mode microwave cavity resonance spectroscopy (MCRS) is introduced to determine electron dynamics non-invasively in an absolute sense, as a function of time and spatially resolved.
Abstract: A new diagnostic approach using multi-mode microwave cavity resonance spectroscopy (MCRS) is introduced. This can be used to determine electron dynamics non-invasively in an absolute sense, as a function of time and spatially resolved. Using this approach, we have for the first time fully mapped electron dynamics specifically during the creation and decay of a highly transient pulsed plasma induced by irradiating a background gas with extreme ultraviolet (EUV) photons. In cylindrical geometry, electron densities as low as 1012 m−3 could be detected with a spatial resolution of (sub)100 µm and a temporal resolution of (sub)100 ns. Our experiments clearly show production of electrons even after the in-band EUV irradiation fades out. This phenomenon can be explained by both photoionization by out-of-band EUV radiation emitted by the EUV source later in time and delayed electron impact ionization by electrons initially created by in-band EUV photoionization. From the analysis, the absolute width of the electron cloud in the probing volume could also be retrieved temporally resolved. This data clearly indicates cooling of electrons. From an application perspective, it is demonstrated that the method can be used as a non-invasive and in-line monitor for ionizing radiation in terms of beam power, profile and pointing stability.

Journal ArticleDOI
TL;DR: The data suggest that consolidation treatment with anti-CD137 mAbs might prevent MM relapse and suggest that an insufficient ratio of CD8+ T cells over MM cells (CD8/MM) accounts for the loss of anti- CD137 mAb efficacy.
Abstract: Immunotherapy holds promise for multiple myeloma (MM) patients but little is known about how MM-induced immunosuppression influences response to therapy. Here, we investigated the impact of disease progression on immunotherapy efficacy in the Vk*MYC mouse model. Treatment with agonistic anti-CD137 (4-1BB) mAbs efficiently protected mice when administered early but failed to contain MM growth when delayed more than three weeks after Vk*MYC tumor cell challenge. The quality of CD8+ T cell response to CD137 stimulation was not altered by the presence of MM, but CD8+ T cell numbers were profoundly reduced at the time of treatment. Our data suggest that an insufficient ratio of CD8+ T cells over MM cells (CD8/MM) accounts for the loss of anti-CD137 mAb efficacy. We established serum M-protein levels prior to therapy as a predictive factor of response. Moreover, we developed an in silico model to capture the dynamic interactions between CD8+ T cells and MM cells. Finally, we explored two methods to improve the CD8/MM ratio: anti-CD137 mAb immunotherapy combined with Treg-depletion or administered after chemotherapy treatment with cyclophosphamide or melphalan efficiently reduced MM burden and prolonged survival. Altogether, our data indicate that consolidation treatment with anti-CD137 mAbs might prevent MM relapse.

Journal ArticleDOI
15 Dec 2019-Fuel
TL;DR: In this paper, the effects of three injection strategies including single and split injection timing, and the number of injector nozzle holes have been investigated on engine combustion and emission characteristics in a dual-fuel diesel engine at part load condition.

Proceedings ArticleDOI
14 Mar 2019
TL;DR: An update will be given on the status of the developments at ZEISS and ASML on an EUV exposure tool with an NA of 0.55 and several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching are addressed.
Abstract: While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: This work describes a methodology for expanding the analysis of process windows to include more than the mean and 3σ of the data and considers the skew and kurtosis of the distribution of measured CD results per focus-exposure condition and compares / correlate the measured CD process window results to the CD process margin.
Abstract: CD-based process windows have been an analysis workhorse for estimating and comparing the robustness of semiconductor microlithography processes for more than 30 years. While tolerances for variation of CD are decreasing in step with the target CD size, the acceptable number of printed defects has remained flat (Hint: Zero) as the number of features increases quadratically. This disconnect between two key process estimators, CD variability and defect rate, must be addressed. At nodes that require EUV lithography, estimating the printed defects based solely on a Mean CD (“Critical Dimension”) process window is no longer predictive. The variability / distribution of the printed CDs must be engineered so that there are no failures amongst the billions of instances, rendering the Mean CD, often measured on just hundreds or thousands of instances, a poor predictor for outliers. A “defect-aware” process window, where the count of printed defects is considered in combination with more advanced statistical analysis of measured CD distributions can provide the needed predictability to determine whether a process is capable of sufficient robustness. Determining process robustness where stochastics and defects are taken into account can be simplified by determining the CD process margin. In this work we study dense contact hole arrays exposed with 0.33NA single exposure EUV lithography after both the lithography and etch steps. We describe a methodology for expanding the analysis of process windows to include more than the mean and 3σ of the data. We consider the skew and kurtosis of the distribution of measured CD results per focus-exposure condition and compare / correlate the measured CD process window results to the CD process margin.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this article, a simplified coherent imaging model, rigorous mask diffraction simulations, images for individual source areas and a hybrid mask model are employed to analyze the root causes of observed imaging artifacts.
Abstract: Understanding, characterization and management of 3D mask effects, including non-telecentricity, contrast fading and best focus shifts, become increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. Novel imaging configuration and central obscuration in high NA EUV projection systems introduce additional imaging effects. A simplified coherent imaging model, rigorous mask diffraction simulations, images for individual source areas and a hybrid mask model are employed to analyze the root causes of observed imaging artifacts. Based on this, several image enhancement strategies including modifications of mask and source are devised and investigated for lines/spaces.


Journal ArticleDOI
TL;DR: A first multi-node/multi-GPU implementation of OpenCAL for grid-based high-performance numerical simulation, evaluated with respect to three different benchmarks, namely a Sobel edge detection filter, a Julia fractal generator, and the SciddicaT Cellular Automata model for fluid-flows simulation.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this article, the impact of local stress variations on the global wafer deformation is considered and the authors demonstrate that the intra-field stress distribution not only affects the intra field overlay performance but has also a significant impact on the globally wafer distortion.
Abstract: One of the contributors to layer-to-layer overlay in today’s chip manufacturing process is wafer distortion due to thin film deposition. Mismatch in the film specific material parameters (e.g., thermal expansion coefficients) may result in process-induced warpage of the wafers at room temperature. When these warped wafers are loaded onto the scanner for the next layer exposure, in-plane distortion patterns may be apparent after clamping. The wafer alignment system inside the scanner is designed to correct for these process-induced in-plane wafer distortion signatures. Depending on the complexity of the distortion pattern, the choice of wafer alignment model can be adapted to achieve the required overlay performance. While wafer overlay metrology is used to correct for the systematic part of the wafer distortion, the wafer alignment functionality addresses the random part that is varying from wafer-to-wafer. In the case of a homogeneous single film of uniform stress deposited on a substrate at elevated temperatures inside a deposition tool, the resulting free-form wafer shape at room temperature will take a parabolic form (either bowl or umbrella). The resulting in-plane distortion can be described by a radial scaling pattern. A linear wafer alignment model can easily correct for these kinds of distortion patterns and the resulting overlay is close to the scanner baseline performance. Also, in the case where there is a slight variation in one of the material parameters across the wafer, the resulting wafer distortion can easily be corrected for by selecting one of the available wafer alignment models. A Higher Order Wafer Alignment model up to the third order (HOWA3) has been proven to be sufficient to bring the overlay performance down to the scanner baseline performance over the past years. In this paper we will consider the impact of local stress variations on the global wafer deformation. One of the sources of the local stress variation is linked to the intra-field or intra-die pattern density. We will demonstrate that the intra-field stress distribution not only affects the intra-field overlay performance but has also a significant impact on the global wafer distortion. The focus will be mainly on use-cases with high intra-field stress variations similar to what is encountered in 3D-NAND processes. These cases in particular need a more advanced correction approach. However, since the underlying root cause is generic, the same approach may also be applicable to other use-cases like DRAM and Logic.

Journal ArticleDOI
TL;DR: In this paper, an experimental scaling relation of the optical depth of a tin-droplet-based, 1-μm-laser-produced plasma source of extreme-ultraviolet (EUV) light is presented.
Abstract: Experimental scaling relations of the optical depth are presented for the emission spectra of a tin-droplet-based, 1-μm-laser-produced plasma source of extreme-ultraviolet (EUV) light. The observed changes in the complex spectral emission of the plasma over a wide range of droplet diameters (16-65 μm) and laser pulse durations (5-25 ns) are accurately captured in a scaling relation featuring the optical depth of the plasma as a single, pertinent parameter. The scans were performed at a constant laser intensity of 1.4 × 1011 W/cm2, which maximizes the emission in a 2% bandwidth around 13.5 nm relative to the total spectral energy, the bandwidth relevant for industrial EUV lithography. Using a one-dimensional radiation transport model, the relative optical depth of the plasma is found to linearly increase with the droplet size with a slope that increases with the laser pulse duration. For small droplets and short laser pulses, the fraction of light emitted in the 2% bandwidth around 13.5 nm relative to the total spectral energy is shown to reach high values of more than 14%, which may enable conversion efficiencies of Nd:YAG laser light into - industrially - useful EUV radiation rivaling those of current state-of-the-art CO2-laser-driven sources.

Journal ArticleDOI
TL;DR: In this paper, hot liquid metal drops impacting onto a cold substrate solidify during their subsequent spreading and radial ligaments rapidly solidify from the center of the drop, which determines the late-time morphology of the splat.
Abstract: Hot liquid metal drops impacting onto a cold substrate solidify during their subsequent spreading. Here we experimentally study the influence of solidification on the outcome of an impact event. Liquid tin drops are impacted onto sapphire substrates of varying temperature. The impact is visualised both from the side and from below, which provides a unique view on the solidification process. During spreading an intriguing pattern of radial ligaments rapidly solidifies from the centre of the drop. This pattern determines the late-time morphology of the splat. A quantitative analysis of the drop spreading and ligament formation is supported by scaling arguments. Finally, a phase diagram for drop bouncing, deposition and splashing as a function of substrate temperature and impact velocity is provided.

Proceedings ArticleDOI
26 Sep 2019
TL;DR: In this paper, the authors show that mask 3D effects can lead to relative pattern shifts of images coming from different pixels in the pupil, and how these shifts can explain M3D phase effects (NILS loss by fading, best focus through pitch variation, Bossung tilts).
Abstract: We show, in simulation and by wafer exposures, how to improve an EUV Single Exposure Metal direct print at NA 0.33. Based on a fundamental understanding of Mask 3D effects, we show how to design a pupil in conjunction with induced aberrations to cure the M3D phase effects. For L/S through pitch, we increase NILS/exposure latitude by ~10%, reduce the best focus range by two thirds, and reduce Bossung tilts. Simultaneously, we reduce tip-to-tip (T2T) CD by 1-4nm at constant exposure latitude and LCDU. In EUV, M3D effects lead to phase modulation of the diffracted orders. This results in relative pattern shifts of images coming from different pixels in the pupil. We find that these pattern shifts are pole specific as M3D phase effects effectively induce phase tilts of opposite sign for opposite poles. This results in a pattern independent aerial image shifts for each pole. Here we show how these shifts can explain M3D phase effects (NILS loss by fading, best focus through pitch variation, Bossung tilts) and how they drive source optimization. Furthermore, we show how it is possible to counteract these M3D effects. Disentangling the diffraction orders, so that each point in the pupil plane is passed only by a single diffraction order, we can find a suitable aberration (Z6 for L/S) that effectively introduces a phase tilt of opposite sign per pole and cures the M3D effects. The idea is also applicable to other use cases: For dense contact holes we need to inject a phase front that shifts 0th against 1st order phase.

Journal ArticleDOI
TL;DR: In this paper, a dynamic incumbent-entrant framework with stochastic evolution of the (inverse) demand is examined, in which both the optimal timing of the investments and the capacity choices are explicitly considered.

Journal ArticleDOI
TL;DR: A hybrid integrator-gain system is discussed that aims for improved low-frequency disturbance rejection, while, at the same time, does not deteriorate overshoot and settling times when compared with a linear integrator.
Abstract: A hybrid integrator-gain system is discussed that aims for improved low-frequency disturbance rejection, while, at the same time, does not deteriorate overshoot and settling times when compared with a linear integrator. The hybrid integrator has similar phase advantages as the well-known Clegg integrator but without inducing the discontinuous behavior resulting from resetting system state values. Optimal tuning of the controller parameters of the hybrid integrator is strongly influenced by machine-specific properties and therefore favors a data-driven optimization approach. However, as a time-domain optimization algorithm can easily lead to nonrobust solutions in the sense of large peaking of the closed-loop frequency response functions, frequency-domain robustness constraints will be imposed. By means of an adaptive weighting filter design, the parameter updates are penalized upon violation of said robustness constraints. Posed in an unconstrained problem formulation, this is subsequently solved by applying a Gauss-Newton-based parameter update scheme. Closed-loop stability of the linear time-invariant plant and controller in feedback connection with a hybrid integrator-gain system element follows from a circle-criterion-like analysis, which is based on evaluating (measured) frequency response data. Measurement results obtained from an industrial wafer scanner demonstrate the effectiveness of the approach.

Proceedings ArticleDOI
01 Dec 2019
TL;DR: The ePDS framework is connected to the classical PDS literature and is subsequently used to provide a formal mathematical description of a HIGS-controlled system, which was lacking in the literature so far.
Abstract: The class of projected dynamical systems (PDS) has proven to be a powerful framework for modeling dynamical systems of which the trajectories are constrained to a set by means of projection. However, PDS fall short in modeling systems in which the constraint set does not satisfy certain regularity conditions and only part of the dynamics can be projected. This poses limitations in terms of the phenomena that can be described in this framework especially in the context of systems and control. Motivated by hybrid integrator-gain systems (HIGS), which are recently proposed control elements in the literature that aim at overcoming fundamental limitations of linear time-invariant feedback control, a new class of discontinuous dynamical systems referred to as extended projected dynamical systems (ePDS) is introduced in this paper. Extended projected dynamical systems include PDS as a special case and are well-defined for a wider variety of constraint sets as well as partial projections of the dynamics. In this paper, the ePDS framework is connected to the classical PDS literature and is subsequently used to provide a formal mathematical description of a HIGS-controlled system, which was lacking in the literature so far. Based on the latter result, HIGS-controlled systems are shown to be well-posed, in the sense of global existence of solutions.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: This technical presentation will review the above developments and remaining gaps in technology (litho and dimensional metrology applications), as well as design and integration.
Abstract: During the last several years we have seen an impressive drive in the industry to continue to innovate in order to keep up with the challenging requirements of overlay in multi-patterning processes. A major part of these efforts is spent in opening up of the flexibility in control knobs on process tools (mostly lithography and etch) enabling the high order actuation capability. In order to feed this high order actuation, it has also become important to address the need of input data accuracy, driving up the demand for improved metrology accuracy and sampling. Adding to the complexity, it has also become important to address edge placement error (EPE). EPE is basically the pattern fidelity of a device structure created by a multi-patterning process, defined as the relative displacement of the edges of two features from their intended target position. Here local CD error is an important parameter in addition to overlay. EPE requirements of a "single digit nanometer number" is now a harsh reality in 5nm nodes and below. In this technical presentation we will review the above developments and remaining gaps in technology (litho and dimensional metrology applications), as well as design and integration. Mitigation of such challenges need a large team effort that is industry-wide and not just limited in litho.

Journal ArticleDOI
TL;DR: In this paper, a linear bandpass filter is compared to a hybrid integrator-gain based band pass filter regarding its usefulness in active vibration isolation, which is a form of skyhook damping in which a velocity output signal from a system having structural dynamics is fed back to a controller.

Proceedings ArticleDOI
27 Jun 2019
TL;DR: In this article, the progress in pellicle development that was made over the past year was discussed, and a new film generation was introduced end 2018, which showed considerable improvements in imaging performance, stability, EUV power capability and EUV reflectivity.
Abstract: Over the past years, ASML has taken the NXE pellicle concept (2015) from the concept level to pilot production (2016) and subsequently to a final product (2017 and onwards). In this paper we will show the progress in pellicle development that was made over the past year. After ASML started volume production of pellicles with the previous film generation, a new film generation was introduced end 2018. This new generation of pellicles shows considerable improvements in imaging performance, stability, EUV power capability and EUV reflectivity. The current generation of pellicle films show an EUV power capability of 250 Watts; improvements were also made in the imaging performance, where the EUV reflectivity of the pellicle reduced to below 0.04%. The EUV transmission of the latest pellicles increased to 83%.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: This paper addresses edge placement budget generation as well as potential for improved patterning control for an HVM use case at the 28nm litho node and applies novel SEM image based analysis of repetitive patterns in SRAM arrays to generate 2-dimensional process variability bands, including estimates of pattern placement.
Abstract: Over the past few years, patterning edge placement error (EPE), which combines information on variability of pattern sizes and placement between adjacent device layers, has been established as the key metric for patterning budget generation and holistic patterning control. More recently, the emergence of high-throughput SEM tools that provide inspection and large-volume CD metrology capabilities has enabled unprecedented statistical analysis of on-product pattern variability. In the current paper we address edge placement budget generation as well as potential for improved patterning control for an HVM use case at the 28nm litho node. Edge placement and possible related defect mechanisms arise most critically at the contact layer, where contact hole patterning and EPE, with respect to both underlying gate and active layers need to be well controlled. At the 28nm node and for automotive applications, variability control within 5-sigma, i.e. to failure rates below 1 ppm, is generally required to ensure device reliability. To support generation of an EPE budget by wafer data that captures inter and intra-field components, including local stochastic variations, we use a high-throughput, large field-of-view SEM tool from Hermes Microvision, at all three process layers of interest, as well as YieldStar metrology for overlay characterization. The large volume of data being made available -tens of millions of individual CD measurements- allows mapping out the low-probability ends of variability distributions and detecting non-Gaussian ‘fat tails’ indicative of defect rates that would be underestimated by 3-sigma estimates. Data analysis includes decomposing the total pattern variations into sources of variability, such as global CDU, mask variations and local stochastics. In addition to established CD metrology, we apply novel SEM image based analysis of repetitive patterns in SRAM arrays to generate 2-dimensional process variability bands, including estimates of pattern placement. This approach allows to investigate in detail the probabilistic interaction between active, gate and contact layers.

Journal ArticleDOI
05 Apr 2019
TL;DR: In this paper, it was shown that the intercalated silicene exhibits the same electronic properties as the epitaxial boron nitride on ZrB2, while it resists oxidation in air up to several hours.
Abstract: Silicene, the silicon analogue of graphene, consists of an atomically buckled honeycomb lattice of silicon atoms. Theory predicts exceptional electronic properties, including Dirac fermions and a topological spin Hall insulator phase. An important obstacle impeding exploration of such properties in electronic devices is the chemical sensitivity of silicene, hampering its incorporation in layer stacks. Here we show experimentally that epitaxial silicene and hexagonal boron nitride (h-BN) can be stacked without perturbing the electronic properties of silicene. Intercalated silicene underneath epitaxial h-BN on ZrB2(0001) substrate films is obtained by depositing Si atoms at room temperature. Using (angle resolved) photoelectron spectroscopy (ARPES, PES) and scanning tunneling microscopy (STM) we find that the intercalated silicene exhibits the same electronic properties as epitaxial silicene on ZrB2, while it resists oxidation in air up to several hours. This is an essential step towards the development of layer stacks that allow for fabrication of devices.