scispace - formally typeset
Open AccessProceedings ArticleDOI

Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification

TLDR
A novel test relaxation method, called Distribution-Controlling X-Identification (DC-XID), which controls the distribution of X-bits identified from a set of fully-specified test vectors for the purpose of effectively reducing IR-drop is proposed.
Abstract
Test data modification based on test relaxation and X-filling is the preferable approach for reducing excessive IR-drop in at-speed scan testing to avoid test-induced yield loss. However, none of the existing test relaxation methods can control the distribution of identified donpsilat care bits (X-bits), thus adversely affecting the effectiveness of IR-drop reduction. In this paper, we propose a novel test relaxation method, called Distribution-Controlling X-Identification (DC-XID), which controls the distribution of X-bits identified from a set of fully-specified test vectors for the purpose of effectively reducing IR-drop. Experimental results on large industrial circuits demonstrate the effectiveness and practicality of the proposed method in reducing IR-drop, without any impact on fault coverage, test data volume, or test circuit size.

read more

Content maybe subject to copyright    Report

Citations
More filters
Proceedings ArticleDOI

Continuous process monitoring for biogas plants using microwave sensors

TL;DR: In this article, the authors used microwave sensors to measure the dry matter content of biogas during anaerobic digestion, and the setup of the measuring system for materials with high water content was presented.
Proceedings ArticleDOI

Provably optimal test cube generation using quantified boolean formula solving

TL;DR: This work presents for the first time a framework that yields provably optimal test cubes by using the theory of quantified Boolean formulas (QBF) and demonstrates the quality gain of the proposed method.
Proceedings ArticleDOI

Power reduction through X-filling of transition fault test vectors for LOS testing

TL;DR: In this article, the authors investigated power reduction of LOS testing through X-filling techniques, which consists in using test relaxation to identify don't-care bits (X-bits) in test vectors and then applying various X filling techniques so that peak power during the launchto-capture cycle is comparable to the power consumption in functional mode.
Proceedings ArticleDOI

Recent advances in SAT-based ATPG: Non-standard fault models, multi constraints and optimization

TL;DR: This paper first provides introductory knowledge on SAT-based ATPG and then reports on latest developments enabling applications far beyond classical ATPG.
Proceedings ArticleDOI

A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment

TL;DR: This paper proposes a novel and practical CA (Compression-Aware) test modification scheme for reducing IR-drop in the widely-used broadcast-scan based test compression environment and can achieve significant IR- drop reduction even when a test cube only has a small number of X-bits.
References
More filters
Book

VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon)

TL;DR: This book is a comprehensive guide to new DFT methods that will show the readers how to design a testable and quality product, drive down test cost, improve product quality and yield, and speed up time-to-market and time- to-volume.
Journal ArticleDOI

Survey of low-power testing of VLSI circuits

TL;DR: The author reviews low-power testing techniques for VLSI circuits with a discussion of power consumption that gives reasons for and consequences of increased power during test.
Proceedings ArticleDOI

A case study of ir-drop in structured at-speed testing

TL;DR: This paper discusses the prac- tical issues associated with power consumption during at-speed tests, and delineates in more detail the nature of power-related phenomena encountered in structured speed tests.
Book

VLSI Test Principles and Architectures: Design for Testability

TL;DR: A comprehensive guide to new DFT methods that will show the readers how to design a testable and quality product, drive down test cost, improve product quality and yield, and speed up time to market and time-to-volume as mentioned in this paper.
Proceedings ArticleDOI

Minimizing power consumption in scan testing: pattern generation and DFT techniques

TL;DR: Case study information on ATPG- and DFT-based solutions for test power reduction is presented and ICs have been observed to fail at specified minimum operating voltages during structured at-speed testing while passing all other forms of test.
Related Papers (5)