scispace - formally typeset
Search or ask a question

Showing papers on "Clock domain crossing published in 2011"


Patent
Franco Cesari1
29 Dec 2011
TL;DR: In this paper, an embodiment of an additional functional logic circuit block, named "inter-domain on chip clock controller" (icOCC), interfaced with every suitably adapted clock-gating circuit (OCC) of the different clock domains is presented.
Abstract: An embodiment is directed to extended test coverage of complex multi-clock-domain integrated circuits without forgoing a structured and repeatable standard approach, thus avoiding custom solutions and freeing the designer to implement his RTL code, respecting only generally few mandatory rules identified by the DFT engineer Such an embodiment is achieved by introducing in the test circuit an embodiment of an additional functional logic circuit block, named “inter-domain on chip clock controller” (icOCC), interfaced with every suitably adapted clock-gating circuit (OCC), of the different clock domains The icOCC actuates synchronization among the different OCCs that source the test clock signals coming from an external ATE or ATPG tool and from internal at-speed test clock generators to the respective circuitries of the distinct clock domains Scan structures like the OCCs, scan chain, etc, may be instantiated at gate pre-scan level, with low impact onto the functional RTL code written by the designer

94 citations


Proceedings ArticleDOI
01 Dec 2011
TL;DR: The work in this paper investigates the various clock gating techniques that can be used to optimise power in VLSI circuits at RTL level and various issues involved while applying this power optimization techniques atRTL level.
Abstract: Clock gating is one of the power-saving techniques used on the Pentium 4 processor and in next generation processors. To save power, clock gating refers to activating the clocks in a logic block only when there is work to be done. From the earliest days of the Pentium 4 processor design, power consumption was a concern. The clock gating concept isn't a new one; however, the Pentium 4 processor used this technology to a large extent. Every unit on the chip has a power reduction plan, and almost every Functional Unit Block (FUB) contains clock gating logic. The work in this paper investigates the various clock gating techniques that can be used to optimise power in VLSI circuits at RTL level and various issues involved while applying this power optimization techniques at RTL level.

83 citations


Journal ArticleDOI
TL;DR: A novel clocked pair shared flip-flop is proposed which reduces the number of local clocked transistors by approximately 40% and a 24% reduction of clock driving power is achieved.
Abstract: Power consumption is a major bottleneck of system performance and is listed as one of the top three challenges in International Technology Roadmap for Semiconductor 2008. In practice, a large portion of the on chip power is consumed by the clock system which is made of the clock distribution network and flop-flops. In this paper, various design techniques for a low power clocking system are surveyed. Among them is an effective way to reduce capacity of the clock load by minimizing number of clocked transistors. To approach this, we propose a novel clocked pair shared flip-flop which reduces the number of local clocked transistors by approximately 40%. A 24% reduction of clock driving power is achieved. In addition, low swing and double edge clocking, can be easily incorporated into the new flip-flop to build clocking systems.

82 citations


Patent
28 Apr 2011
TL;DR: In this paper, various techniques are described for periodically performing a calibration routine to calibrate a low-power system clock within an implantable medical device (IMD) based on a high accuracy reference clock also included in the IMD.
Abstract: Various techniques are described for periodically performing a calibration routine to calibrate a low-power system clock within an implantable medical device (IMD) based on a high accuracy reference clock also included in the IMD. The system clock is powered continuously, and the reference clock is only powered on during the calibration routine. The techniques include determining a clock error of the system clock based on a difference between frequencies of the system clock and the reference clock over a fixed number of clock cycles, and adjusting a trim value of the system clock to compensate for the clock error. Calibrating the system clock with a delta-sigma loop, for example, reduces the clock error over time. This allows accurate adjustment of the system clock to compensate for errors due to trim resolution, circuit noise and temperature.

77 citations


Patent
31 Mar 2011
TL;DR: In this article, a jitter reduced clock signal is generated by filtering the recovered clock signal with a filtering circuit having a bandwidth sufficient to remove jitter while allowing the jitter-reduced clock signal to track the long-term drift in the modulated signal.
Abstract: Method and apparatus for generating a jitter reduced clock signal from signal transmitted over a communication medium includes receiving, with high speed data interface circuitry, a modulated signal that includes a binary encoded data stream. A recovered clock signal is generated from the modulated signal and tracks the long-term drift in the modulated signal. A jitter reduced clock signal is generated by filtering the recovered clock signal with a filtering circuit having a bandwidth sufficient to remove jitter while allowing the jitter reduced clock signal to track the drift in the modulated signal.

66 citations


Journal ArticleDOI
TL;DR: This work analyzes the clock-recovery process based on adaptive finite-impulse-response (FIR) filtering in digital coherent optical receivers to achieve an asynchronous clock mode of operation of digital coherent receivers with block processing of the symbol sequence.
Abstract: We analyze the clock-recovery process based on adaptive finite-impulse-response (FIR) filtering in digital coherent optical receivers. When the clock frequency is synchronized between the transmitter and the receiver, only five taps in half-symbol-spaced FIR filters can adjust the sampling phase of analog-to-digital conversion optimally, enabling bit-error rate performance independent of the initial sampling phase. Even if the clock frequency is not synchronized between them, the clock-frequency misalignment can be adjusted within an appropriate block interval; thus, we can achieve an asynchronous clock mode of operation of digital coherent receivers with block processing of the symbol sequence.

51 citations


Patent
Jongtae Kwak1
17 Aug 2011
TL;DR: In this paper, a read latency control circuit is described having a clock synchronization circuit and a read delay control circuit, where the read latency controller captures a read command signal relative to the timing of the input clock signal and outputs the read command signals relative to timing of output clock signals such that the read signal is outputted indicative of a specified read latency.
Abstract: A read latency control circuit is described having a clock synchronization circuit and a read latency control circuit. The clock synchronization circuit includes an adjustable delay line to generate an output clock signal whose phase is synchronized with the phase of the input clock signal. The read latency control circuit captures a read command signal relative to the timing of the input clock signal and outputs the read command signal relative to the timing of the output clock signal such that the read command signal is outputted indicative of a specified read latency.

47 citations


Patent
Jade M. Kizer1
07 Mar 2011
TL;DR: In this paper, a lock-loop circuit with a clock hold function was proposed, where the hold signal generator asserts a hold signal in response to a transition of the select signal, and the latch circuit latches the state of the first clock signal.
Abstract: A locked loop circuit having a clock hold function. The locked loop circuit includes a select circuit, phase mixing circuit, hold signal generator and latch circuit. The select circuit selects one of a plurality of phase values in response to a select signal, and the phase mixing circuit generates a first clock signal having a phase angle according to the selected phase value. The hold signal generator asserts a hold signal in response to a transition of the select signal, and the latch circuit latches the state of the first clock signal in response to assertion of the hold signal.

47 citations


Patent
30 Dec 2011
TL;DR: In this article, the authors presented a secure one-step IEEE 1588 clock using either a symmetric or asymmetric protection scheme, where a master clock sends a synchronization message to a slave clock before a projected send time.
Abstract: The present disclosure provides a secure one-step IEEE 1588 clock using either a symmetric or asymmetric protection scheme Clocks of mission-critical or highly-available devices in industrial automation systems connected to a communication network are synchronized by sending, by a master clock, a synchronization message, eg, a single message of the one-step-clock type according to IEEE 1588, including a time stamp, and by receiving and evaluating, by a slave clock, the synchronization message A synchronization component or module of the master clock prepares, or composes, prior to a projected send time, a synchronization message including a time stamp of the projected send time, and secures the synchronization message in advance of the projected send time Securing the synchronization message occurs by suitable cryptographic means allowing for authentication of the time stamp at a receiving slave clock At the projected send time, the secured synchronization message is transmitted

46 citations


Patent
Carl W. Werner1
06 Dec 2011
TL;DR: In this paper, a phase shifter responsive to a control signal based on this phase difference adjusts the phase of an incoming clock signal to yield a second clock signal, and the phase difference between the first clock signal and the second signal is measured and the resulting signal is low-pass filtered to derive a controller signal for controlling the VCO.
Abstract: A clock recovery circuit includes a first phase detector for measuring the phase difference between a first clock signal from a voltage controlled oscillator (VCO) and a data signal. A phase shifter responsive to a control signal based on this phase difference adjusts the phase of an incoming clock signal to yield a second clock signal. The phase difference between the first clock signal and the second clock signal is measured and the resulting signal is low-pass filtered to derive a control signal for controlling the VCO. The phase locked loop including the VCO filters out jitter.

42 citations


Patent
05 May 2011
TL;DR: In this paper, a distributed charge pump system uses a delay element and frequency dividers to generate out of phase pump clock signals that drive different charge pumps, to offset peak current clock edges for each charge pump and thereby reduce overall peak power.
Abstract: A distributed charge pump system uses a delay element and frequency dividers to generate out of phase pump clock signals that drive different charge pumps, to offset peak current clock edges for each charge pump and thereby reduce overall peak power. Clock signal division and phase offset may be extended to multiple levels for further smoothing of the pump clock signal transitions. A dual frequency divider may be used which receives the clock signal and its complement, and generates two divided signals that are 90° out of phase. In an illustrative embodiment the clock generator comprises a variable-frequency clock source, and a voltage regulator senses an output voltage of the charge pumps, generates a reference voltage based on a currently selected frequency of the variable-frequency clock source, and temporarily disables the charge pumps (by turning off local pump clocks) when the output voltage is greater than the reference voltage.

Journal ArticleDOI
TL;DR: The proposed ADPLL does not require an extra external oscillator to overcome the reference clock jitter effects, has a small chip area and low power consumption, and is well-suited to video pixel clock generation applications in 65 nm CMOS process.
Abstract: A phase-locked loop (PLL) for analog video RGB signal acquisition interface requires precise clock generation from a very noisy and low-frequency horizontal synchronization signal (HSYNC). In such applications, the frequency multiplication ratio is always larger than 800 and can be up to over 2600. The output pixel clock has to be phase aligned to the HSYNC. Otherwise, the displayed image will become blurry. A fast phase tracking all-digital PLL (ADPLL) for video pixel clock generation in a 65 nm CMOS technology is presented in this paper. In the proposed ADPLL, the digital loop filter eliminates the reference clock jitter effects and then the period jitter of the output pixel clock can be reduced. A time-to-digital converter (TDC) and a delta-sigma modulator (DSM) are used to perform the fast phase tracking, and the tracking jitter is controlled at less than one-third of the output pixel clock period. As compared to prior studies, the proposed ADPLL does not require an extra external oscillator to overcome the reference clock jitter effects. Thus, it has a small chip area and low power consumption, and is well-suited to video pixel clock generation applications in 65 nm CMOS process.

Journal ArticleDOI
TL;DR: This work proposes a level converter based on dynamic logic style for sub-threshold I/O part, having a large dynamic range of conversion, and employs a Clock Synchronizer to overcome clock synchronization problem between high voltage and low voltage clocks.
Abstract: For ultra low power application, digital sub-threshold logic design has been explored. Extremely low power supply (VDD) of sub-threshold logic results in significant power reduction. However, it is difficult to convert signals from core logic to input/output (I/O) circuits since core VDD is vastly different from high I/O supply voltage. In this work, we propose a level converter based on dynamic logic style for sub-threshold I/O part, having a large dynamic range of conversion. For the level converter, high voltage clock signal needs to be delivered through separate clock path from core logic, leading to clock synchronization problem between high voltage and low voltage clocks. To overcome this issue, we employed a Clock Synchronizer. A test chip is fabricated in 130-nm CMOS technology in order to verify the proposed technique. Hardware measurement results show that the level converter successfully converts 0.3 V 8 MHz pulse to 2.5 V signal.

Patent
24 May 2011
TL;DR: In this paper, a randomized clock is used to reduce the ability of an intruder to monitor the relationship between currents in a logic system and the data in the system through the use of the clock eye diagram.
Abstract: An improvement in the security of a logic system by minimizing observable features such as the power supply or electromagnetic radiation, so called, “side-channel attacks”. Specifically, the present invention comprises a technique and methods for reducing the ability of an intruder to monitor the relationship between currents in the system and the data in the system through the use of a randomized clock wherein the clock eye diagram is closed and without significant reduction in maximum operating speed compared to the reduction in maximum operating frequency that occurs when using conventional means of additive jitter. A system where the clock eye diagram is completely closed is provably more secure than systems where the clock eye diagram is partially open.

Patent
14 Oct 2011
TL;DR: In this article, a clock tree for an integrated circuit design is presented, where clock tree nodes are arranged to distribute the clock signal to the sink pins, and clock signal delay information is determined based on clock tree timing variation parameters, including timing information for multiple process corners and/or multiple modes of operation.
Abstract: In one embodiment, a method for building a clock tree for an integrated circuit design is provided. The clock tree may include a clock tree root node and a plurality of clock tree nodes that couple to sink pins for circuit elements of the integrated circuit design. The clock tree nodes may be arranged to distribute the clock signal to the sink pins. In synthesizing the clock tree, the sink pins may be clustered into one or more clusters. Clock tree nodes may be placed for the clock tree to distribute the clock signal to the one or more clusters. Timing information is determined to measure the clock signal delay from the root to the sink pins in the one or more clusters based on the placed one or more clock tree nodes. Different sets of timing information may be determined based on different sets of clock tree timing variation parameters. For example, the clock tree timing variation parameters includes timing information for multiple process corners and/or multiple modes of operation.

Patent
17 Aug 2011
TL;DR: Closed-loop duty-cycle correctors (DCCs), clock generators, memory devices, systems, and methods for generating an output clock signal having a particular duty cycle are provided.
Abstract: Closed-loop duty-cycle correctors (DCCs), clock generators, memory devices, systems, and methods for generating an output clock signal having a particular duty cycle are provided, such as clock generators configured to generate an output clock signal synchronized with a received input clock signal having a predetermined duty cycle. Embodiments of clock generators include closed-loop duty cycle correctors that receive an already-controlled and corrected output signal. For example, DLL control circuitry and DCC control circuitry may each adjust a delay of a variable delay line. The DLL control circuitry adjusts the delay such that an output clock signal is synchronized with an input clock signal. The DCC control circuitry detects a duty cycle error in the output clock signal and adjusts the delay of the variable delay line to achieve a duty cycle corrected output signal. By detecting the duty cycle error in the output signal, the clock generator may achieve improved performance that can correct accumulated duty cycle error and correct for duty cycle error introduced by the duty cycle corrector itself in some embodiments.

Patent
30 Jun 2011
TL;DR: In this article, a time-to-digital converter (TDC) module is provided in such a DPLL circuit to receive an input reference clock signal and a first feedback clock signal from a first digital feedback loop.
Abstract: Designs of devices having digital phase locked loop (DPLL) circuits that include multiple digital feedback loops to generate high frequency clock signals by a digitally controlled oscillator (DCO). A time-to-digital converter (TDC) module is provided in such a DPLL circuit to receive an input reference clock signal and a first feedback clock signal from a first digital feedback loop and produces a digital TDC output indicative of a first phase error caused by a difference in time between the input reference clock signal and the first feedback clock signal. A second digital feedback loop is provided to generate a second digital feedback signal indicative of a second phase error caused by a difference in frequency between a desired clock signal and a generated clock signal generated by the DCO. The first and second digital feedback loops are coupled to the DCO to generate the high frequency clock signals.

Patent
25 Mar 2011
TL;DR: In this paper, a system for synchronizing components of a downhole system includes: a source assembly including a source clock, an electromagnetic source associated with the source assembly and configured to emit an electromagnetic signal into an earth formation at a transmission time; a receiver assembly, including a receiver clock; and a processor configured to identify a receipt time of the electromagnetic signal based on the receiver clock.
Abstract: A system for synchronizing components of a downhole system includes: a source assembly including a source clock; an electromagnetic source associated with the source assembly and configured to emit an electromagnetic signal into an earth formation at a transmission time; a receiver assembly including a receiver clock; an electromagnetic receiver associated with the receiver clock and configured to detect the electromagnetic signal; and a processor configured to identify a receipt time of the electromagnetic signal based on the receiver clock and adjust the receiver clock by comparing the transmission time to the receipt time.

Patent
Eric R. Soldan1
01 May 2011
TL;DR: In this article, a method for synchronizing a relative clock to a global clock is presented, which involves receiving a network packet from a master computing device, the network packet including a packet time stamp indicating a system time of the global clock when the packet was transmitted, determining a receipt time offset between a receipt of the network packets and the network time stamp, the receipt time indicating a time at which the network is received as measured by the relative clock.
Abstract: Various embodiments are provided that relate to clock synchronization. In one embodiment, a method for synchronizing a relative clock to a global clock comprises receiving a network packet from a master computing device, the network packet including a network packet time stamp indicating a system time of the global clock when the network packet was transmitted; determining a receipt time offset between a receipt time of the network packet and the network packet time stamp, the receipt time indicating a time at which the network packet is received as measured by the relative clock; and adjusting a system time of the relative clock toward the system time of the global clock by updating a system time offset to the receipt time offset if the receipt time offset is smaller than the system time offset.

Proceedings ArticleDOI
27 Mar 2011
TL;DR: This paper focuses on multi-bit flip-flop clustering at post-placement to gain the benefits of clock power, and uses the properties of Manhattan distance and coordinate transformation to achieve efficient clustering scheme.
Abstract: Clock power is the major contributor to dynamic power for modern IC design. A conventional single-bit flip-flop cell uses an inverter chain with a high drive strength to drive the clock signal. Clustering such cells and forming a multi-bit flip-flop can share the drive strength, dynamic power, and area of the inverter chain, even can save the clock network power and facilitate the skew control. Hence, in this paper, we focus on multi-bit flip-flop clustering at post-placement to gain these benefits. Utilizing the properties of Manhattan distance and coordinate transformation, we model the problem instance by two interval graphs and use a pair of linear-size sequences as our representation. Without enumerating all compatible combinations, we extract only partial sequences that are necessary to cluster flip-flops at a time, thus leading to an efficient clustering scheme. Moreover, our coordinate transformation brings fast operations to execute our algorithm. Experimental results show the superior efficiency and effectiveness of our algorithm.

Proceedings ArticleDOI
07 Nov 2011
TL;DR: By observing the occurrence of timing errors at runtime and tuning clock skews accordingly, the proposed technique is able to achieve much better timing performance when compared to existing clock skew optimization solutions.
Abstract: The timing performance and yield of integrated circuits can be improved by carefully assigning intentional clock skews to flip-flops. Due to the ever-increasing process, voltage, and temperature variations with technology scaling, however, traditional clock skew optimization solutions that work in a conservative manner to guarantee “always correct” computation cannot perform as well as expected. By allowing infrequent timing errors and recovering from them with minor performance impact, the concept of timing speculation has attracted lots of research attention since it enables “better than worst-case design”. In this work, we propose a novel online clock skew tuning technique for circuits equipped with timing speculation capability. By observing the occurrence of timing errors at runtime and tuning clock skews accordingly, the proposed technique is able to achieve much better timing performance when compared to existing clock skew optimization solutions. Experimental results on various benchmark circuits demonstrate the effectiveness of the proposed methodology.

Patent
15 Nov 2011
TL;DR: In this article, the authors propose a digital phase locked loop (DPLL) for UWB networks to facilitate clock normalization in ultra-wideband (UWB) networks, where a central location engine (CLE) coordinates operation of an anchor access point (AP) and a plurality of non-anchor APs.
Abstract: In an ultra-wideband (“UWB”) network, a central location engine (“CLE”) coordinates operation of an anchor access point (“AP”), AP[0], and a plurality of non-anchor AP[x]s. A clock calibration packet (“CCP”) transmission method and related apparatus facilitate normalization of CCP time references reported to the CLE by all APs. Implementing a digital phase locked loop (“DPLL”) in the CLE facilitates clock normalization. Implementing a DPLL in at least the non-anchor AP[x]s facilitates local clock synchronization, and may improve network efficiency by reducing clock synchronization traffic.

Patent
25 Jan 2011
TL;DR: In this article, the frequency of a clock signal used by a processor may be temporarily reduced to compensate for voltage droops in the power supply to the processor, where a control component may control, in response to the voltage droop event signal indicating the occurrence of the voltage drop event, the multiplexer to iteratively select the group of phase shifted versions of the clock signal to reduce the frequency.
Abstract: A clock frequency of a clock signal used by a processor may be temporarily reduced to compensate for voltage droops in the power supply to the processor. A device may include a multiplexer to receive a group of phase shifted versions of the clock signal and to output one of the group of phase shifted versions of the clock signal as an output clock signal. A control component may receive the output clock signal from the multiplexer and a voltage droop event signal indicating whether a voltage droop event is occurring in a power supply. The control component may control, in response to the voltage droop event signal indicating the occurrence of the voltage droop event, the multiplexer to iteratively select the group of phase shifted versions of the clock signal to reduce the frequency of the output clock signal.

Patent
20 Jun 2011
TL;DR: In this paper, a digital phase locked loop (ADPLL) was proposed to generate a plurality of time-aligned output clock signals having different frequency values, where a clock aligner monitors a phase difference between the variable clock signal and one of the plurality of clock signals and generates a control signal that causes a programmable delay line to automatically time-align the output clock signal with the variable signal.
Abstract: One embodiment of the present invention relates to a digital phase locked loop (ADPLL) configured to generate a plurality of time-aligned output clock signals having different frequency values. The ADPLL comprises a digital controlled oscillator configured to generate a variable clock signal that is separated into two signal paths operating according to two separate clock domains. A first signal path is configured to generate a feedback signal that synchronizes the variable clock signal with a reference signal. A second signal path comprises a clock divider circuit configured to synchronously divide the variable clock signal to automatically generate a plurality of time-aligned output clock signals having different frequencies. A clock aligner monitors a phase difference between the variable clock signal and one of the plurality of time-aligned output clock signals and generates a control signal that causes a programmable delay line to automatically time-align the output clock signals with the variable clock signal.

Patent
25 Aug 2011
TL;DR: In this paper, a clock distribution network for synchronizing global clock signals within a 3D chip stack having two or more strata is provided, each of which includes a resonant circuit for providing stratum-to-stratum coupling.
Abstract: There is provided a clock distribution network for synchronizing global clock signals within a 3D chip stack having two or more strata. The clock distribution network includes a plurality of clock distribution circuits, each being arranged on a respective one of the two or more strata for providing the global clock signals to various chip locations. Each of the plurality of clock distribution circuits includes a resonant circuit for providing stratum-to-stratum coupling for the clock distribution network. The resonant circuit includes at least one capacitor and at least one inductor.

Patent
02 Jun 2011
TL;DR: In this paper, an example method for altering the timing of an output signal provided responsive to an input clock signal includes adjusting a transition of an edge of the output signal from one voltage level to another based at least in part on a bias signal.
Abstract: Clock signal timing cells, clock signal timing circuits, clock circuits, memory devices, systems, and method for altering the timing of a clock signal are disclosed. An example method for altering the timing of an output signal provided responsive to an input clock signal includes adjusting a transition of an edge of the output signal from one voltage level to another based at least in part on a bias signal. An example clock signal timing cell includes an inverter and a bias controlled inverter coupled in parallel to the inverter. The bias controlled circuit is configured to provide an output signal wherein a transition of a clock edge of the output signal between first and second voltage levels is based at least in part on a bias signal.

Patent
Ajay K. Ravi1, David Lewis1
10 Jun 2011
TL;DR: In this paper, an integrated circuit that supports dual-edge clocking is provided, which includes phase-locked loops that generate square-wave clock signals from off-chip equipment through input-output pins.
Abstract: Integrated circuits that support dual-edge clocking are provided Integrated circuits may include phase-locked loops that generate square-wave clock signals The clock signals may be provided from off-chip equipment through input-output pins The clock signals may be routed through a clock distribution network to provide local clock signals to pulse generators that generate clock pulses on rising and falling clock edges The pulse generators may generate clock pulses that are triggered by the rising and falling clock edges with a common pulse width for optimum performance Duty cycle distortion introduced by the clock network may be minimized for optimum performance Adaptive duty cycle distortion circuitry may be used to control the pull-up/pull-down drive strengths of the clock buffer so that the high clock phase of the local clock signals is approximately a half clock cycle

Proceedings ArticleDOI
20 Oct 2011
TL;DR: The design challenges and trade-offs involved in the design of digital CDRs are elucidated and the jitter performance metrics such as jitter generation, jitter transfer, and jitter tolerance are related to digital CDR parameters and design guidelines are provided.
Abstract: Digital clock and recovery circuits (CDRs) have recently emerged as an alternative to their more classical analog counterparts. This paper seeks to elucidate the design challenges and trade-offs involved in the design of digital CDRs. The jitter performance metrics such as jitter generation, jitter transfer, and jitter tolerance are related to digital CDR parameters and design guidelines are provided. The impact of digital phase detector non-linearity and quantization error, the digitally-controlled oscillator frequency quantization error, and loop latency on a digital CDR performance is analyzed and demonstrated using accurate behavioral simulations.

Book ChapterDOI
15 Aug 2011
TL;DR: The comprehensive survey on the clock synchronization algorithms, which should be considered for the measurements of the network delay, focuses more on the end-to-end schemes which can be classified again into online and offline shemes according to whether they can be applied for real time operation.
Abstract: In this paper we present the comprehensive survey on the clock synchronization algorithms, which should be considered for the measurements of the network delay. We categorize the clock synchronization algorithms into two basic types according to how they acquire synchronization between clocks, which are external source based schemes and end-to-end measurement based schemes. While external source based schemes are the synchronization methods using centralized time source such as NTP, GPS and IEEE 1588 to have global synchronization for all end hosts, end-to-end schemes obtain synchronization information through network measurements between end hosts. We briefly introduces some algorithms in both categories. However, we have focused more on the end-to-end schemes which can be classified again into online and offline shemes according to whether they can be applied for real time operation. We survey the recent progresses on these end-to-end algorithms and special concerns are on the estimation of true the one-way delay without the effect of clock skew. The problems in depolying each end-to-end scheme are also described. The potential further research issues in online one-way delay estimation are discussed.

Journal ArticleDOI
TL;DR: A histogram of the arithmetic difference of the beat signals is proposed which decouples the relationship of clock jitter to the minimum measurable skew, and allows skews arbitrarily close to zero to be measured with a precision limited largely by measurement time, unlike the conventional XOR based histogram approach.
Abstract: An all-digital on-chip clock skew measurement system via subsampling is presented. The clock nodes are subsampled with a near-frequency asynchronous sampling clock to result in beat signals which are themselves skewed in the same proportion but on a larger time scale. The beat signals are then suitably masked to extract only the skews of the rising edges of the clock signals. We propose a histogram of the arithmetic difference of the beat signals which decouples the relationship of clock jitter to the minimum measurable skew, and allows skews arbitrarily close to zero to be measured with a precision limited largely by measurement time, unlike the conventional XOR based histogram approach. We also analytically show that the proposed approach leads to an unbiased estimate of skew. The measured results from a 65 nm delay measurement front-end indicate that for an input skew range of ±1 fan-out-of-4 (FO4) delay, ±3σ resolution of 0.84 ps can be obtained with an integral error of 0.65 ps. We also experimentally demonstrate that a frequency modulation on a sampling clock maintains precision, indicating the robustness of the technique to jitter. We also show how FM modulation helps in restoring precision in case of rationally related clocks.