scispace - formally typeset
Search or ask a question

Showing papers on "Dopant Activation published in 1997"


Journal ArticleDOI
TL;DR: In this article, the effects of dose rate on the formation of ultra-shallow junctions were investigated, and the results showed that for the implant conditions investigated the dose rate does not have a significant effect (if any) on the junction depth and that there is a distinct advantage to BF2 implants in forming shallower junctions.

18 citations


Journal ArticleDOI
TL;DR: In this article, the Hall effect measurement was employed to study the isothermal annealing of boron or phosphorus implanted polycrystalline Si/sub 1-x/Ge/sub x/ thin films, with x varying from 0.3-0.55.
Abstract: Hall effect measurement was employed to study the isothermal annealing of boron or phosphorus implanted polycrystalline Si/sub 1-x/Ge/sub x/ thin films, with x varying from 0.3-0.55. X-ray diffraction and cross-sectional transmission electron microscopy were used to study the crystal structure, whereas X-ray photoelectron spectroscopy was used to determine the film composition and the chemical bonding states of the elements. In low-temperature (/spl les/600/spl deg/C) annealing, the conductivity, the dopant activation, and the Hall effect mobility decreased during extended annealing. The effective activation of phosphorus was less than 20% and decreased with increasing Ge content. Boron activation could reach above 70%. It was also found that Si/sub 1-x/Ge/sub x/ could be oxidized at 600/spl deg/C in a conventional furnace even with N/sub 2/ protection, especially for phosphorus doped films with high Ge content. Consequently, a low-temperature SiO/sub 2/ capping layer is necessary during extended annealing.

13 citations


Journal ArticleDOI
TL;DR: In this article, the relationship between a population of end-of-range (EOR) defects, measured by TEM, and electrical properties of the Ge preamorphized (p+/n) junctions was studied.
Abstract: The goal of this work is to study the relationship that exists between a population of end of range (EOR) defects, measured by TEM, and electrical properties of the Ge preamorphized ( p+/n) junctions. In this paper, we report current-voltage (I-V) and low frequency (L-F) noise measurements, performed on crystalline and Ge preamorphized diodes, as a function of temperature. The I-V measurements reveal the conduction process which is dominant in the shallow ( p+/n) junctions, while L-F noise characteristics confirm the presence of generation-recombination centers in direct relation to the presence of EOR defects in the n region. Moreover, temperature-L-F noise measurements have shown a drawback of this shallow ( p+/n) junction formation procedure, independent of the preamorphization step. Nevertheless, high-quality ( p+/n) junctions can be obtained by low-energy boron implantation into germanium preamorphized Si substrates using rapid thermal annealing for dopant activation through the judicious choice of Ge implantation energy.

7 citations


Patent
11 Jul 1997
TL;DR: In this paper, a heavy boron doped p+-Si was implanted by a 40 KeV BF2+ at an ion intensity 5x1015 ions per cm2 and annealed at 900 °C for 30 minutes to obtain a partial activation according to conventional processing steps, and current was gradually applied according to the invention to a current density of approximately 5x106 A/cm2.
Abstract: Dopant activation in heavily boron doped p+-Si is achieved by applying electric current of high density. The p+-Si was implanted by a 40 KeV BF2+ at an ion intensity 5x1015 ions per cm2 and annealed at 900 °C for 30 minutes to obtain a partial boron activation according to conventional processing steps. To obtain additional activation and higher conductivity, current was gradually applied according to the invention to a current density of approximately 5x106 A/cm2 was realized. The resistance of the p+-Si gradually increases and then decreases with a precipitous drop at a threshold current. The resistance was reduced by factor of 5 to 18 times and was irreversible if an activation current threshold was reached or exceeded. The high-current-density-dopant activation occurs at room temperature.

6 citations


Journal ArticleDOI
TL;DR: In this paper, nitrogen ions were implanted into ZnSxSe1−x epilayers grown on p-GaAs (100) substrates by molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD).
Abstract: Nitrogen ions were implanted into ZnSxSe1−x epilayers grown on p-GaAs (100) substrates by molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD). Dopant activation and annealing out the implant damage were achieved by a postannealing process in a N2 ambient. Schottky structures employing the implanted p-type ZnSxSe1−x were fabricated and device efficacy was examined by photoluminescence (PL) spectroscopy, current–voltage (I–V), current–voltage temperature (I–V–T), and high frequency capacitance–voltage (C–V) measurements. PL spectra showed a clear donor–acceptor pair (DAP) recombination at an energy of 2.735 and 2.72 eV, in both MBE and MOCVD ZnSSe epilayers, respectively, regardless of the postannealing temperatures. The diode conduction in forward bias proceeds by the combination of thermionic and tunneling emission. C–V measurement proved the maximum doping concentration to be around 1017 cm−3 after ion implantation.

4 citations


Journal ArticleDOI
TL;DR: In this paper, a reliable small metal contact (MC) process was developed for the gigabit scaled dynamic random access memories (DRAMs) by using a high density inductively coupled plasma reactor.
Abstract: A reliable small metal contact (MC) process is developed for the gigabit scaled dynamic random access memories (DRAMs). The high aspect ratio contact etch was accomplished by using a high density inductively coupled plasma reactor. The low contact resistance of the MC for the gigabit scaled DRAMs is realized when the amount of Si consumption at the bottom of the contact holes is minimized ( (S/D) regions is also critical to have low contact and sheet resistances (R c and R s ). Use of the high temperature (>950 °C) rapid thermal annealing enhances the dopant activation and effectively reduces the R c and R s . Surface treatment of the bottom of the contact hole after dry etch, becomes a key factor to have low contact resistance in the case of small contacts. The conventional Ar + in situ sputter cleaning deteriorates the contact resistance of the vertically sloped small MCs. Light etch using CF 4 and O 2 gases removes damaged layer and improves contact resistance. Rapid thermal nitridation after TiN barrier metal deposition also improves electrical properties of the MCs.

4 citations


Journal ArticleDOI
TL;DR: In this article, two successive implantations were performed up to a maximum energy of 320 keV and a total fluence of 1.6 x 10(15) ions cm(-2).
Abstract: Aluminium ions were implanted at room temperature into n-type 6H-SiC single crystals. In order to obtain a quasi rectangular atom distribution over approximately 0.5 mu m, two successive implantations were performed up to a maximum energy of 320 keV and a total fluence of 1.6 x 10(15) ions cm(-2). The samples were then annealed under nitrogen in a rf furnace, allowing a temperature range from 1000 to 1800 degrees C. The recovery of the lattice disorder was followed by using Rutherford backscattering spectrometry of 2 MeV He+ ions in chanelling geometry (RBS/C), in conjunction with optical absorption measurements. The electrical behavior of the implanted material was tested by sheet resistance measurements. The unimplanted side of the target has been characterized by both RBS/C and X-ray photoelectron spectroscopy (XPS). A significant decrease of the surface stoichiometry [Si/C] has been evidenced for the highest annealing temperatures.

4 citations


Journal ArticleDOI
TL;DR: In this article, the feasibility of applying room temperature deposited liquid phase deposited (LPD oxide) oxide to high temperature processed devices was investigated and the results showed that these high temperature treatments showed excellent improvement in device characteristics.
Abstract: Liquid-phase deposited (LPD) oxide has previously been successfully applied to low temperature processed polysilicon thin film transistors (poly-Si TFTs) as a gate insulator. This paper shows the feasibility of applying room temperature deposited LPD oxide to high temperature processed devices. The thermal effects of high temperature processing on poly-Si TFTs including postoxide annealing and dopant activation have been investigated. These high temperature treatments show excellent improvement in device characteristics. In addition, the novel devices also show considerably more efficient hydrogenation during NH 3 -plasma treatment, and their reliability under dc electrical stress appears similar to that of conventional poly-Si TFTs.

4 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of the regrowth temperature of the cap layer grown successively on a Si focused ion beam (FIB) implanted GaAs surface on the dopant activation was investigated using a FIB/molecular beam epitaxy combined system.
Abstract: Effect of the regrowth temperature of the cap layer grown successively on a Si focused ion beam (FIB) implanted GaAs surface on the dopant activation was investigated using a FIB/molecular beam epitaxy combined system. Indication of the reevaporation of the implanted Si was observed at high regrowth temperature and the fabrication process was improved by using low regrowth temperature. A high doping efficiency was obtained for the ion dose at about 1×1013 cm−2. Present results indicate the importance of controlling the regrowth condition to obtain high doping efficiency.

4 citations



Journal ArticleDOI
TL;DR: In this article, it was shown that by extending the epitaxial growth to vicinal InSb substrates, the temperature window for high quality epitaxy can be lowered by ∼120°C, giving greatly improved epilayer morphology.
Abstract: The application of non-equilibrium transport techniques to Molecular Beam Epitaxy (MBE) grown InSb/InAlSb heterostructure diodes has produced practical devices such as midinfrared LED’s and negative luminescent sources that operate at room temperature. By extending the epitaxial growth to vicinal InSb substrates it has been demonstrated that the temperature window for high quality epitaxy can be lowered by ∼120°C, giving greatly improved epilayer morphology. The degree of misorientation needed for given growth temperatures is shown from Atomic Force Microscope (AFM) measurements to be only ∼2°. In addition, the lower growth temperature gives improved dopant activation, lower trap densities and lower reverse bias leakage currents, with consequent benefits to device performance.

Journal ArticleDOI
TL;DR: In this paper, the authors report current voltage and capacitance voltage measurements on ultra-shallow (p+/n) junctions obtained by boron implantation into crystalline and Ge preamorphized Si-substrates.
Abstract: In this paper, we report Current-Voltage (I-V), Capacitance-Voltage (C-V) and Deep Level Transient Spectroscopy (DLTS) measurements on ultra-shallow (p+/n) junctions obtained by boron implantation into crystalline and Ge preamorphized Si-substrates. Germanium implantations were carried out at the energies of 30, 60 and 150 keV at a dose of 1015 cm−2. Boron was then implanted at an energy of 3 keV at a dose of 1015 cm-2. Dopant activation was obtained by Rapid Thermal Annealing (RTA) performed at 950°C for 15s in a nitrogen ambient. The aim of this work is to study the relation that exists between a population of End-Of-Range (EOR) defects, measured by TEM, and electrical properties of the Ge preamorphized diodes. The electrical measurements allow us to give the conduction mechanism which dominates in the diodes. Moreover, DLTS measurements showed the presence of two majority-carrier traps in direct relation with the EOR defects, measured at Ec-0,22eV and Ec-0,47eV. The presence of energy-distributed G-R centers independent upon the preamorphization stage is also discussed. Nevertheless, high quality (p+/n) junctions can be obtained by this technology with a judicious choice of Ge implantation energy.

Journal ArticleDOI
TL;DR: In this paper, the impact of film thickness, the effect of subsequent hydrogenation, and a possible role for fluorine in this process have been studied for ion implanted solid phase crystallized (SPC) a-Si:H films, deposited by low temperature PECVD.
Abstract: Dopant activation for ion implanted solid phase crystallized (SPC) a-Si:H films, deposited by low temperature PECVD, was investigated. The impact of film thickness, the effect of subsequent hydrogenation, and a possible role for fluorine in this process have been studied.

Book ChapterDOI
01 Jan 1997
TL;DR: In this paper, the authors proposed the Rapid Thermal Chemical Vapor Deposition (RTCVD) process for single-crystal epitaxial silicon, which is a relatively new development in rapid thermal processing.
Abstract: Rapid thermal chemical vapor deposition (RTCVD) is a relatively new development in rapid thermal processing. Although it is being applied to a range of materials, the potential for further development is great. The earliest work was on single-crystal epitaxial silicon by Gibbons and colleagues [1] who referred to the process as limited reaction processing (LRP). One of the principal advantages of RTCVD is that sharp transitions are obtained between layers of differing composition or doping, while exposing the substrate to a much lower thermal budget than a furnace low-pressure chemical vapor deposition (LPCVD) process. The maximum temperature reached is typically higher, however, providing good crystal quality and dopant activation. Applications of lightly doped epitaxially grown silicon on heavily doped silicon substrates include the fabrication of CMOS devices with reduced latch-up, and high-frequency bipolar junction transistors (BJTs) with a lightly doped base region on a heavily doped buried layer.

Proceedings ArticleDOI
01 Jan 1997
TL;DR: Isothermal annealing of boron or phosphorus implanted polycrystalline Sil.,Ge, thin films, with x varying from 0.3 to 0.55 was reported in this article.
Abstract: Isothermal annealing of boron or phosphorus implanted polycrystalline Sil.,Ge, thin films, with x varying from 0.3 to 0.55 was reported in this paper. In low temperature (<=6OO0C) annealing, grain boundary segregation causes both the conductivity and the Hall mobility to decrease during extended annealing. The effective activation of phosphorus was less than 20% and decreases with increasing Ge content. Boron activation could reach above 70%. It was also found that Sil.,Ge, could be oxidized at 600°C in a conventional furnace even with pure N2 protection.

Journal ArticleDOI
TL;DR: In this paper, pseudomorphic GeSi layers grown by chemical vapor deposition or by molecular beam epitaxy on Si(100) substrates were implanted at room temperature and were subsequently annealed for short 10-40 s durations in a lamp furnace with a nitrogen ambient, or for a long 30 min period in a vacuum tube furnace.
Abstract: Metastable pseudomorphic GeSi layers grown by chemical vapor deposition or by molecular beam epitaxy on Si(100) substrates were implanted at room temperature. The implantations were performed with 90 keV As ions to a dose of 1 x 1013 cm-2 for Ge0.08Si0.92 layers and 70 keV BF2 ions to a dose of 3x 1013 cm-2 for Ge0.06Si0.94 layers. The samples were subsequently annealed for short 10–40 s durations in a lamp furnace with a nitrogen ambient, or for a long 30 min period in a vacuum tube furnace. For Ge0.08Si0.92 samples annealed for a 30 min-long duration at 700°C, the dopant activation can only reach 50% without introducing significant strain relaxation, whereas samples annealed for short 40 s periods (at 850°C) can achieve more than 90% activation without a loss of strain. For Ge0.06Si0.94 samples annealed for either 40 s or 30 min at 800°C, full electrical activation of the boron is exhibited in the GeSi epilayer without losing their strain. However, when annealed at 900°C, the strain in both implanted and unimplanted layers is partly relaxed after 30 min, whereas it is not visibly relaxed after 40 s.

01 Jan 1997
TL;DR: In this article, pseudomorphic GeSi layers grown by vapor phase epitaxy on Si(100) substrates were implanted at room temperature, and the samples were subsequently annealed for short 10-40 s durations in a lamp furnace with a nitrogen ambient or for a long 30 min period in a vacuum tube furnace.
Abstract: Metastable pseudomorphic GeSi layers grown by vapor phase epitaxy on Si(100) substrates were implanted at room temperature. The implantations were performed with 90 KeV As ions to a dose of for layers and 709 keV ions to a dose of for layers. The samples were subsequently annealed for short 10-40 s durations in a lamp furnace with a nitrogen ambient or for a long 30 min period in a vacuum tube furnace. For samples annealed for a 30 min-longt duration at the dopant activation can only reach 50% without introducing significant strain relaxaion whereas samples annealed for short 40s periods (at ) can achieve more than 90% activation without a loss of strain, For samples annealed for either 40s or 30min at full electrical activation of the boron is exhibited in the GeSi epilayer without losing their strain. However when annealed at the strain in both implanted and unimplanted layers is partly relaxed after 30min whereas it is not visibly relaxed after 40s.