scispace - formally typeset
Search or ask a question

Showing papers on "Latency (engineering) published in 2008"


01 Jun 2008
TL;DR: This document specifies a protocol to improve handover latency due to Mobile IPv6 procedures, which is often unacceptable to real-time traffic such as Voice over IP.
Abstract: Mobile IPv6 enables a mobile node (MN) to maintain its connectivity to the Internet when moving from one Access Router to another, a process referred to as handover. During handover, there is a period during which the mobile node is unable to send or receive packets because of link-switching delay and IP protocol operations. This "handover latency" resulting from standard Mobile IPv6 procedures (namely, movement detection, new Care-of Address configuration, and Binding Update) is often unacceptable to real-time traffic such as Voice over IP (VoIP). Reducing the handover latency could be beneficial to non- real-time, throughput-sensitive applications as well. This document specifies a protocol to improve handover latency due to Mobile IPv6 procedures. This document does not address improving the link- switching latency. This document updates the packet formats for the Handover Initiate (HI) and Handover Acknowledge (HAck) messages to the Mobility Header Type. [STANDARDS-TRACK]

439 citations


Proceedings ArticleDOI
26 May 2008
TL;DR: This paper presents a new MAC protocol, called Demand Wakeup MAC (DW-MAC), that introduces a new low-overhead scheduling algorithm that allows nodes to wake up on demand during the Sleep period of an operational cycle and ensures that data transmissions do not collide at their intended receivers.
Abstract: Duty cycling is a widely used mechanism in wireless sensor networks (WSNs) to reduce energy consumption due to idle listening, but this mechanism also introduces additional latency in packet delivery. Several schemes have been proposed to mitigate this latency, but they are mainly optimized for light traffic loads. A WSN, however, could often experience bursty and high traffic loads, such as due to broadcast or convergecast traffic. In this paper, we present a new MAC protocol, called Demand Wakeup MAC (DW-MAC), that introduces a new low-overhead scheduling algorithm that allows nodes to wake up on demand during the Sleep period of an operational cycle and ensures that data transmissions do not collide at their intended receivers. This demand wakeup adaptively increases effective channel capacity during an operational cycle as traffic load increases, allowing DW-MAC to achieve low delivery latency under a wide range of traffic loads including both unicast and broadcast traffic. We compare DW-MAC with S-MAC (with and without adaptive listening) and with RMAC using ns-2 and show that DW-MAC outperforms these protocols, with increasing benefits as traffic load increases. For example, under high unicast traffic load, DW-MAC reduces delivery latency by 70% compared to S-MAC and RMAC, and uses only 50% of the energy consumed with S-MAC with adaptive listening. Under broadcast traffic, DW-MAC reduces latency by more than 50% on average while maintaining higher energy efficiency.

323 citations


Journal ArticleDOI
TL;DR: In this paper, a method of calculating the communication delay (latency) for measurements and control signals in a power system is shown, based on a dedicated communication channel for control signals.
Abstract: A method of calculating the communication delay (latency) for measurements and control signals in a power system is shown. The basis of the calculation is a dedicated communication channel for control signals. The time delay calculation is examined using a dynamic equivalent of the Western Electricity Coordinating Council transmission system. The impact on control system response is discussed. The application given is a wide area control system for interarea mode damping. Results demonstrate that control signal latency can degrade the performance of controls in a wide area control system.

246 citations


Journal ArticleDOI
TL;DR: It is shown that minimum latency broadcasting is NP-complete for ad hoc networks and a simple distributed collision-free broadcasting algorithm for broadcasting a message is presented.
Abstract: Network wide broadcasting is a fundamental operation in ad hoc networks. In broadcasting, a source node sends a message to all the other nodes in the network. In this paper, we consider the problem of collision-free broadcasting in ad hoc networks. Our objective is to minimize the latency and the number of transmissions in the broadcast. We show that minimum latency broadcasting is NP-complete for ad hoc networks. We also present a simple distributed collision-free broadcasting algorithm for broadcasting a message. For networks with bounded node transmission ranges, our algorithm simultaneously guarantees that the latency and the number of transmissions are within O(1) times their re spective optimal values. Our algorithm and analysis extend to the case when multiple messages are broadcast from multiple sources. Experimental studies indicate that our algorithms perform much better in practice than the analytical guarantees provided for the worst case.

186 citations


Patent
07 Jul 2008
TL;DR: In this paper, a network device with integrated functionalities and a cache is provided that stores policy information to reduce the amount of signaling that is necessary to setup and teardown sessions.
Abstract: Systems and methods for reducing latency in call setup and teardown are provided. A network device with integrated functionalities and a cache is provided that stores policy information to reduce the amount of signaling that is necessary to setup and teardown sessions. By handling various aspects of the setup and teardown within a network device, latency is reduced and the amount of bandwidth needed for setup signaling is also reduced.

161 citations


Proceedings ArticleDOI
08 Mar 2008
TL;DR: A new WIP interface is described that improves both latency and the continuity of synthesized locomotion in the virtual environment by basing the virtual avatar motion on the speed of the user's heel motion while walking in place, creating a direct mapping from foot-motion to locomotion that is responsive, intuitive, and easy to implement.
Abstract: Walking-in-place techniques for locomotion in virtual environments typically have two problems that impact their usability: system latency (particularly troublesome when starting and stopping locomotion), and the fact that the change in the user's viewpoint may not be smooth and continuous. This paper describes a new WIP interface that improves both latency and the continuity of synthesized locomotion in the virtual environment. By basing the virtual avatar motion on the speed of the user's heel motion while walking in place, we create a direct mapping from foot-motion to locomotion that is responsive, intuitive, and easy to implement. In this paper, we describe the technique, analyze its starting and stopping latency, and provide experimental results on the suppression of false steps and general usability of the system.

158 citations


Book ChapterDOI
11 Jun 2008
TL;DR: This paper focuses on the problem of finding an optimal path of a mobile device, which it is called "data mule," to achieve the smallest data delivery latency in the case of minimum energy consumption at each sensor, i.e., each sensor only sends its data directly to the data mule.
Abstract: Unlike traditional multihop forwarding among homogeneous static sensor nodes, use of mobile devices for data collection in wireless sensor networks has recently been gathering more attention. It is known that the use of mobility significantly reduces the energy consumption at each sensor, elongating the functional lifetime of the network, in exchange for increased data delivery latency. However, in previous work, mobility and communication capabilities are often underutilized, resulting in suboptimal solutions incurring unnecessarily large latency. In this paper, we focus on the problem of finding an optimal path of a mobile device, which we call "data mule," to achieve the smallest data delivery latency in the case of minimum energy consumption at each sensor, i.e., each sensor only sends its data directly to the data mule. We formally define the path selection problem and show the problem is $\mathcal{NP}$-hard. Then we present an approximation algorithm and analyze its approximation factor. Numerical experiments demonstrate that our approximation algorithm successfully finds the paths that result in 10%-50% shorter latency compared to previously proposed methods, suggesting that controlled mobility can be exploited much more effectively.

127 citations


Journal ArticleDOI
TL;DR: It is demonstrated that BH yields reliable measurements of relative timing differences between voxels, and a BH-derived latency correction can impact both functional connectivity maps of the resting-state default-mode network and activation maps of an event-related working memory (WM) task.

119 citations


Proceedings ArticleDOI
07 Apr 2008
TL;DR: This work proposes hybrid circuit switching (HCS), a network design which removes the circuit setup time overhead by intermingling packet-switched flits with circuit-switches flits, and co-designs a prediction-based coherence protocol that leverages the existence of circuits to optimize pair-wise sharing between cores.
Abstract: Our characterization of a suite of commercial and scientific workloads on a 16-core cache-coherent chip multiprocessor (CMP) shows that overall system performance is sensitive to on-chip communication latency, and can degrade by 20% or more due to long interconnect latencies. On the other hand, communication bandwidth demand is low. These results prompt us to explore circuit-switched networks. Circuit-switched networks can significantly lower the communication latency between processor cores, when compared to packet-switched networks, since once circuits are set up, communication latency approaches pure interconnect delay. However, if circuits are not frequently reused, the long setup time can hurt overall performance, as is demonstrated by the poor performance of traditional circuit-switched networks - all applications saw a slowdown rather than a speedup with a traditional circuit-switched network. To combat this problem, we propose hybrid circuit switching (HCS), a network design which removes the circuit setup time overhead by intermingling packet-switched flits with circuit-switched flits. Additionally, we co-design a prediction-based coherence protocol that leverages the existence of circuits to optimize pair-wise sharing between cores. The protocol allows pair-wise sharers to communicate directly with each other via circuits and drives up circuit reuse. Circuit-switched coherence provides up to 23% savings in network latency which leads to an overall system performance improvement of up to 15%. In short, we show HCS delivering the latency benefits of circuit switching, while sustaining the throughput benefits of packet switching, in a design realizable with low area and power overhead.

111 citations


Proceedings ArticleDOI
27 Oct 2008
TL;DR: A new method for measuring latency using a standard video camera that is simple to configure, sensitive and rapid to use, and can determine latency changes significantly less than the frame rate of the camera.
Abstract: One of the critical determinants of the effectiveness and usability of interactive graphics simulations is the latency with which visual updates can be made based on input from interaction devices. High latency can diminish performance and can lead to simulator sickness. We demonstrate a new method for measuring latency using a standard video camera. The method is simple to configure, sensitive and rapid to use. This is in contrast to previous methods which required specialized equipment, were laborious or could only determine gross changes in latency. We attach a tracker to a pendulum and move a simulated image on the screen using the tracker positions. We video both the pendulum and simulated image together, and fit two sine curves, one to centre of motion of pendulum and one to the centre of motion of the simulated image. From the phase difference between these two sine curves we can determine latency changes significantly less than the frame rate of the camera. We demonstrate the method by comparing the latency of a two different systems for a CAVE™-like display.

106 citations


Proceedings ArticleDOI
25 Aug 2008
TL;DR: It is shown that CCSP belongs to the class of latency-rate servers and guarantees the allocated rate within a maximum latency, as required by hard real-time applications, and a hardware implementation of the arbiter is presented in the context of a DDR2 SDRAM controller.
Abstract: The convergence of application domains in new systems-on-chip (SoC) results in systems with many applications with a mix of soft and hard real-time requirements. To reduce cost, resources, such as memories and interconnect, are shared between applications. However, resource sharing introduces interference between the sharing applications, making it difficult to satisfy their real-time requirements. Existing arbiters do not efficiently satisfy the requirements of applications in SoCs, as they either couple rate or allocation granularity to latency, or cannot run at high speeds in hardware with a low-cost implementation. The contribution of this paper is an arbiter called credit- controlled static-priority (CCSP), consisting of a rate regulator and a static-priority scheduler. The rate regulator isolates applications by regulating the amount of provided service in a way that decouples allocation granularity and latency. The static-priority scheduler decouples latency and rate, such that low latency can be provided to any application, regardless of the allocated rate. We show that CCSP belongs to the class of latency-rate servers and guarantees the allocated rate within a maximum latency, as required by hard real-time applications. We present a hardware implementation of the arbiter in the context of a DDR2 SDRAM controller. An instance with six ports running at 200 MHz requires an area of 0.0223 mm2 in a 90 nm CMOS process.

Proceedings ArticleDOI
26 May 2008
TL;DR: The latency for information dissemination in large-scale mobile wireless networks is analyzed and results from percolation theory are used to show that under a constrained i.i.d. mobility model, the scaling behavior of the latency falls into two regimes.
Abstract: In wireless networks, node mobility may be exploited to assist in information dissemination over time. We analyze the latency for information dissemination in large-scale mobile wireless networks. To study this problem, we map a network of mobile nodes to a network of stationary nodes with dynamic links. We then use results from percolation theory to show that under a constrained i.i.d. mobility model, the scaling behavior of the latency falls into two regimes. When the network is not percolated (subcritical), the latency scales linearly with the initial Euclidean distance between the sender and the receiver; when the network is percolated (supercritical), the latency scales sub-linearly with the distance.

Journal ArticleDOI
TL;DR: This study attempted to model HIV-1 latency using human primary CD4+ T cells infected in vitro with HIV- 1 after activation with Ag-loaded dendritic cells and then brought back to quiescence through a resting phase in the presence of IL-7.
Abstract: HIV-1 establishes latency primarily by infecting activated CD4(+) T cells that later return to quiescence as memory cells. Latency allows HIV-1 to evade immune responses and to persist during antiretroviral therapy, which represents an important problem in clinical practice. The lack of a valid cellular model to study HIV-1 latency has hindered advances in the understanding of its biology. In this study, we attempted to model HIV-1 latency using human primary CD4(+) T cells infected in vitro with HIV-1 after activation with Ag-loaded dendritic cells and then brought back to quiescence through a resting phase in the presence of IL-7. During the resting phase, expression of cellular activation markers disappeared and cell proliferation and viral replication ceased, but resumed following restimulation of rested cells with Ag or mAbs directed to CD3/CD28. In addition, higher cell death rates were observed in HIV-1-infected than uninfected cultures during secondary but not primary stimulation. Thus, this system may allow us to study the biology of HIV-1 latency, as well as the mechanisms of CD4(+) T cell death following HIV-1 reactivation.

Patent
12 May 2008
Abstract: The present disclosure is related to transmitting and receiving media channels, such as audio and video channels These channels may be transmitted as packets from one or more transmitting devices to one or more receiving devices for playout Certain embodiments of the present disclosure include systems, methods, and computer-readable media for determining latency of a data network for synchronized playout of received signals Additionally, certain embodiments of the present disclosure include a systems, methods, and computer-readable media for synchronizing playout among devices connected to a data network

Proceedings ArticleDOI
13 Apr 2008
TL;DR: It is shown that due to the dynamic behavior of links, a delay is incurred for any transmission even when propagation delay is ignored, and the delay scales linearly with the Euclidean distance between the sender and the receiver when the network is in the subcritical phase.
Abstract: We study connectivity and transmission latency in wireless networks with unreliable links from a percolation-based perspective. We first examine static models, where each link of the network is functional (active) with some probability, independently of all other links, where the probability may depend on the distance between the two nodes. We obtain analytical upper and lower bounds on the critical density for phase transition in this model. We then examine dynamic models, where each link is active or inactive according to a Markov on- off process. We show that a phase transition also exists in such dynamic networks, and the critical density for this model is the same as the one for static networks under some mild conditions. Furthermore, due to the dynamic behavior of links, a delay is incurred for any transmission even when propagation delay is ignored. We study the behavior of this transmission delay and show that the delay scales linearly with the Euclidean distance between the sender and the receiver when the network is in the subcritical phase, and the delay scales sub-linearly with the distance if the network is in the supercritical phase.

Proceedings ArticleDOI
14 Apr 2008
TL;DR: This paper proposes a fault tolerant scheduling heuristic for mapping precedence task graphs on heterogeneous systems, based on an active replication scheme, capable of supporting epsiv arbitrary fail-silent (fail-stop) processor failures, hence valid results will be provided even if epsv processors fail.
Abstract: Fault tolerance and latency are important requirements in several applications which are time critical in nature: such applications require guaranties in terms of latency, even when processors are subject to failures. In this paper, we propose a fault tolerant scheduling heuristic for mapping precedence task graphs on heterogeneous systems. Our approach is based on an active replication scheme, capable of supporting epsiv arbitrary fail-silent (fail-stop) processor failures, hence valid results will be provided even if epsiv processors fail. We focus on a bi-criteria approach, where we aim at minimizing the latency given a fixed number of failures supported in the system, or the other way round. Major achievements include a low complexity, and a drastic reduction of the number of additional communications induced by the replication mechanism. Experimental results demonstrate that our heuristics, despite their lower complexity, outperform their direct competitor, the FTBAR scheduling algorithm [3].

Proceedings ArticleDOI
15 Nov 2008
TL;DR: It is argued that it is time to revisit the ldquoI/O wallrdquo problem and trade the excessive computing power with data-access speed and show that the pre-execution approach is promising in reducing I/O access latency and has real potential.
Abstract: Parallel applications are usually able to achieve high computational performance but suffer from large latency in I/O accesses. I/O prefetching is an effective solution for masking the latency. Most of existing I/O prefetching techniques, however, are conservative and their effectiveness is limited by low accuracy and coverage. As the processor-I/O performance gap has been increasing rapidly, data-access delay has become a dominant performance bottleneck. We argue that it is time to revisit the ldquoI/O wallrdquo problem and trade the excessive computing power with data-access speed. We propose a novel pre-execution approach for masking I/O latency. We describe the pre-execution I/O prefetching framework, the pre-execution thread construction methodology, the underlying library support, and the prototype implementation in the ROMIO MPI-IO implementation in MPICH2. Preliminary experiments show that the pre-execution approach is promising in reducing I/O access latency and has real potential.

Journal ArticleDOI
TL;DR: Previous reports of amplitude reduction as a function of age seem to be valid regardless of whether latency jitter correction has been applied, according to the results of this article.
Abstract: Studies of the event-related potentials (ERPs) P3a/P3b have given insights into age effects on cognitive processes in the brain, and it has been established that latency increases and amplitude decreases with age. However, if latency jitter, that is, variation in single trial latencies, is larger in elderly than in younger participants, this may create an artificial age–amplitude correlation. The aim of this article is to test whether correction for latency jitter affects the P3a/P3b age correlations. One hundred thirty-three healthy adults (20–88 years old) went through a 3-stimuli visual oddball paradigm. Latency jitter was corrected by use of a Maximum Likelihood Estimation method. The results showed that corrections for latency jitter did not significantly affect the correlations between P3a/P3b and age. It is concluded that previous reports of amplitude reduction as a function of age seem to be valid regardless of whether latency jitter correction has been applied.


Journal ArticleDOI
TL;DR: In this paper, a very general compartmental model of the spread of an infectious disease with mass action incidence is given, and the global stability of this system is completely determined using Lyapunov functions.

Journal ArticleDOI
TL;DR: The effects of short-term inflammation induced by carrageenan on nociceptor and mechanoreceptor sensitivity did not detect robust changes in mechanical latency or stimulus response functions after inflammation that might have reflected mechanical sensitization under the conditions tested.
Abstract: Here we have systematically characterized the stimulus response properties of mechanosensitive sensory fibers in the mouse saphenous nerve. We tested mechanoreceptors and nociceptors with defined displacement stimuli of varying amplitude and velocity. For each sensory afferent investigated we measured the mechanical latency, which is the delay between the onset of a ramp displacement and the first evoked spike, corrected for conduction delay. Mechanical latency plotted as a function of stimulus strength was very characteristic for each receptor type and was very short for rapidly adapting mechanoreceptors (<11 ms) but very long in myelinated and unmyelinated nociceptors (49-114 ms). Increasing the stimulus speed decreased mechanical latency in all receptor types with the notable exception of C-fiber nociceptors, in which mean mechanical latency was not reduced less, similar100 ms, even with very fast ramp stimuli (2,945 microm/s). We examined stimulus response functions and mechanical latency at two different temperatures (24 and 32 degrees C) and found that stimulus response properties of almost all mechanoreceptors were not altered in this range. A notable exception to this rule was found for C-fibers in which mechanical latency was substantially increased and stimulus response functions decreased at lower temperatures. We calculated Q(10) values for mechanical latency in C-fibers to be 5.1; in contrast, the Q(10) value for conduction velocity for the same fibers was 1.4. Finally, we examined the effects of short-term inflammation (2-6 h) induced by carrageenan on nociceptor and mechanoreceptor sensitivity. We did not detect robust changes in mechanical latency or stimulus response functions after inflammation that might have reflected mechanical sensitization under the conditions tested.

Journal ArticleDOI
TL;DR: The issue of coordinate stability over time is investigated and it is shown that coordinates drift away from their initial values with time, so that 25% of node coordinates become inaccurate by more than 33ms after one week, however, daily re-computations make 75% of the coordinates stay within 6ms of their initialvalues.

Proceedings ArticleDOI
27 Jun 2008
TL;DR: This work proposes a multicast-based approach using a secondary ldquochannel change streamrdquo in association with the multicast of the regular quality stream for the channel requested, and shows that this approach has several performance benefits including lower bandwidth consumption even during flash crowds of channel changes, lower display latency, and lower variability of network & server load.
Abstract: IPTV delivers television content over an IP infrastructure with the potential to enrich the viewing experience of users by integrating data applications with video delivery. From an engineering perspective, IPTV places both significant steady state and transient demands on network bandwidth. Typical IPTV streaming techniques incur delays to fill the play-out buffer. But, when viewers switch or surf channels, it is important to minimize this user-perceived latency. Traditional Instant Channel Change (ICC) techniques reduce this latency by having a separate unicast assist channel for every user changing channels. Instead, we propose a multicast-based approach using a secondary ldquochannel change streamrdquo in association with the multicast of the regular quality stream for the channel requested. During channel change events, the user does a multicast join to this new stream and experiences smaller display latency. In the background, the play-out buffer of the new full-quality multicast stream is filled. Then, the transition to the new channel is complete. We show that this approach has several performance benefits including lower bandwidth consumption even during flash crowds of channel changes, lower display latency (50% lower), and lower variability of network & server load. The tradeoff is a lower quality video during the play-out buffering period of a few seconds. Our results are based upon both synthetic channel change arrival patterns as well as traces collected from an operational IPTV environment.

01 Jan 2008
TL;DR: Based on pooling data for 2 s and 3 s, once slow latency exceeds 3 seconds, users are 1.5 times more likely to choose the fast engine.
Abstract: Industry research advocates a 4 second rule for web pages to load [7]. Usability engineers note that a response time over 1 second may interrupt a user’s flow of thought [6, 9]. There is a general belief that, all other factors equal, users will aba ndon a slow search engine in favor of a faster alternative. This st udy compares two mock search engines that differ only in brandin g (generic color scheme) and latency (fast vs. slow). The fast latency was fixed at 250 ms, while 4 different slow latencies were evaluated: 2s, 3s, 4s, and 5s. When the slower search engine latency is 5 seconds, users state that they perceive t h fast engine as faster. When the slower search engine latency is 4 or 5 seconds, users choose to use the fast engine more often. Based on pooling data for 2 s and 3 s, once slow latency exceeds 3 seconds, users are 1.5 times more likely to choose the fast engine.

Journal ArticleDOI
TL;DR: The generation of a B-cell response to MHV68 was intrinsic to B cells and was independent of the interleukin-1 receptor, a cytokine receptor that also signals through MyD88.
Abstract: Toll-like receptors (TLRs) are known predominantly for their role in activating the innate immune response. Recently, TLR signaling via MyD88 has been reported to play an important function in development of a B-cell response. Since B cells are a major latency reservoir for murine gammaherpesvirus 68 (MHV68), we investigated the role of TLR signaling in the establishment and maintenance of MHV68 latency in vivo. Mice deficient in MyD88 (MyD88−/−) or TLR3 (TLR3−/−) were infected with MHV68. Analysis of splenocytes recovered at day 16 postinfection from MyD88−/− mice compared to those from wild-type control mice revealed a lower frequency of (i) activated B cells, (ii) germinal-center B cells, and (iii) class-switched B cells. Accompanying this substantial defect in the B-cell response was an approximately 10-fold decrease in the establishment of splenic latency. In contrast, no defect in viral latency was observed in TLR3−/− mice. Analysis of MHV68-specific antibody responses also demonstrated a substantial decrease in the kinetics of the response in MyD88−/− mice. Analysis of wild-type × MyD88−/− mixed-bone-marrow chimeric mice demonstrated that there is a selective failure of MyD88−/− B cells to participate in germinal-center reactions as well as to become activated and undergo class switching. In addition, while MHV68 established latency efficiently in the MyD88-sufficient B cells, there was again a ca. 10-fold reduction in the frequency of MyD88−/− B cells harboring latent MHV68. This phenotype indicates that MyD88 is important for the establishment of MHV68 latency and is directly related to the role of MyD88 in the generation of a B-cell response. Furthermore, the generation of a B-cell response to MHV68 was intrinsic to B cells and was independent of the interleukin-1 receptor, a cytokine receptor that also signals through MyD88. These data provide evidence for a unique role for MyD88 in the establishment of MHV68 latency.


Journal ArticleDOI
TL;DR: Variation in NRG1 may convey risk for schizophrenia by disrupting neural connectivity, possibly white matter integrity, and leading to a slower speed of cognitive processing, a preliminary finding in a small sample and requires replication.

Journal ArticleDOI
TL;DR: The proposed ICP latency extraction algorithm exploits the mature technique of ECG QRS detection and includes a new adaptive peak detection methodology and demonstrates a baseline sensitivity and positive predictivity of 0.97 and 0.88 respectively.
Abstract: ICP latency is defined as the time interval between the peak of QRS complex of the electrocardiogram (ECG) and the corresponding onset of intracranial pressure (ICP) pulse. Due to its inherent relationship with arterial pulse wave velocity, ICP latency may allow continuous monitoring of pathophysiological changes in the cerebrovasculature. The objective of the present work was to develop and validate a computerized algorithm for extracting ICP latency in a beat-by-beat fashion. The proposed ICP latency extraction algorithm exploits the mature technique of ECG QRS detection and includes a new adaptive peak detection methodology. The results were validated by comparing the performance of two human observers versus the algorithm in terms of locating the onset points of ICP pulses for 59 recordings extracted from 25 adult patients. The average ICP latency was 72.6 ± 19.5 milliseconds (range 40.0 – 159.8). The ICP pulse detection algorithm demonstrated a baseline sensitivity of 0.97 and a positive predictivity of 0.88. No difference was found in the mean location errors from comparing the results obtained by the two observers and those from comparing the results from algorithm to those from the two observers. Further investigation is needed to demonstrate the role of ICP latency in characterizing dynamic cerebral vascular pathophysiological changes in clinical states such as subarachnoid hemorrhage and traumatic brain injury.

Proceedings ArticleDOI
02 Jun 2008
TL;DR: The Dynamic Analysis of Root Causes system (DARC), which finds root cause paths in a running program's call-graph using runtime latency analysis, is presented and its usefulness is shown by analyzing behaviors that were observed in several interesting scenarios.
Abstract: OSprof is a versatile, portable, and efficient profiling methodology based on the analysis of latency distributions. Although OSprof has offers several unique benefits and has been used to uncover several interesting performance problems, the latency distributions that it provides must be analyzed manually. These latency distributions are presented as histograms and contain distinct groups of data, called peaks, that characterize the overall behavior of the running code. By automating the analysis process, we make it easier to take advantage of OSprof's unique features.We have developed the Dynamic Analysis of Root Causes system (DARC), which finds root cause paths in a running program's call-graph using runtime latency analysis. A root cause path is a call-path that starts at a given function and includes the largest latency contributors to a given peak. These paths are the main causes for the high-level behavior that is represented as a peak in an OSprof histogram. DARC performs PID and call-path filtering to reduce overheads and perturbations, and can handle recursive and indirect calls. DARC can analyze preemptive behavior and asynchronous call-paths, and can also resume its analysis from a previous state, which is useful when analyzing short-running programs or specific phases of a program's execution.We present DARC and show its usefulness by analyzing behaviors that were observed in several interesting scenarios. We also show that DARC has negligible elapsed time overheads for normal use cases.

Patent
31 Mar 2008
TL;DR: In this paper, a power management policy is developed to manage one or more of a second plurality of components of a system based at least in part on the received power management guidelines.
Abstract: Embodiments of a system for receiving power management guidelines from a first plurality of components of a system, and developing a power management policy to manage one or more of a second plurality of components of the system based at least in part on the received power management guidelines. Other embodiments are described.