scispace - formally typeset
Search or ask a question

Showing papers by "Robert M. Wallace published in 2011"


Journal ArticleDOI
24 Jun 2011-Science
TL;DR: This work synthesized a porous carbon with a Brunauer-Emmett-Teller surface area, a high electrical conductivity, and a low oxygen and hydrogen content that has high values of gravimetric capacitance and energy density with organic and ionic liquid electrolytes.
Abstract: Supercapacitors, also called ultracapacitors or electrochemical capacitors, store electrical charge on high-surface-area conducting materials. Their widespread use is limited by their low energy storage density and relatively high effective series resistance. Using chemical activation of exfoliated graphite oxide, we synthesized a porous carbon with a Brunauer-Emmett-Teller surface area of up to 3100 square meters per gram, a high electrical conductivity, and a low oxygen and hydrogen content. This sp 2 -bonded carbon has a continuous three-dimensional network of highly curved, atom-thick walls that form primarily 0.6- to 5-nanometer-width pores. Two-electrode supercapacitor cells constructed with this carbon yielded high values of gravimetric capacitance and energy density with organic and ionic liquid electrolytes. The processes used to make this carbon are readily scalable to industrial levels.

5,486 citations


Journal ArticleDOI
TL;DR: In this article, the effects of residues introduced during the transfer of chemical vapor deposited graphene from a Cu substrate to an insulating (SiO2) substrate on the physical and electrical properties of the transferred graphene are studied X-ray photoelectron spectroscopy and atomic force microscopy.
Abstract: The effects of residues introduced during the transfer of chemical vapor deposited graphene from a Cu substrate to an insulating (SiO2) substrate on the physical and electrical of the transferred graphene are studied X-ray photoelectron spectroscopy and atomic force microscopy show that this residue can be substantially reduced by annealing in vacuum The impact of the removal of poly(methyl methacrylate) residue on the electrical properties of graphene field effect devices is demonstrated, including a nearly 2 × increase in average mobility from 1400 to 2700 cm2/Vs The electrical results are compared with graphene doping measurements by Raman spectroscopy

936 citations


Journal ArticleDOI
TL;DR: In this article, the role of trapped water and the evolution of oxygen during annealing of reduced graphene oxide (GO) is investigated, and the interactions between randomly arranged nearby oxygen species are found to affect the spectral response (red and blue shifts) and the overall chemistry during the reduction process.
Abstract: Understanding the thermal reduction of graphene oxide (GO) is important for graphene exfoliation, and chemical and morphological modifications. In this process, the role of trapped water and the evolution of oxygen during annealing are still not well-understood. To unravel the complex mechanisms leading to the removal of oxygen in reduced GO, we have performed in situ transmission infrared absorption spectroscopy measurements of GO films upon thermal annealing at 60–850 °C in vacuum (10–3–10–4 Torr). Using cluster-based first-principles calculations, epoxides, ethers (pyrans and furans), hydroxyls, carboxyls, lactols, and various types of ketones and their possible derivatives have been identified from the spectroscopic data. Furthermore, the interactions between randomly arranged nearby oxygen species are found to affect the spectral response (red and blue shifts) and the overall chemistry during annealing. For instance, the initial composition of oxygen species (relative amounts and types of species, su...

767 citations


Journal ArticleDOI
Abstract: In this work, we present the results of an investigation into the effectiveness of varying ammonium sulphide (NH4)2S concentrations in the passivation of n-type and p-type In053Ga047As Samples were degreased and immersed in aqueous (NH4)2S solutions of concentrations 22%, 10%, 5%, or 1% for 20 min at 295 K, immediately prior to atomic layer deposition of Al2O3 Multi-frequency capacitance-voltage (C-V) results on capacitor structures indicate that the lowest frequency dispersion over the bias range examined occurs for n-type and p-type devices treated with the 10%(NH4)2S solution The deleterious effect on device behavior of increased ambient exposure time after removal from 10%(NH4)2S solution is also presented Estimations of the interface state defect density (Dit) for the optimum 10%(NH4)2S passivated In053Ga047As devices extracted using an approximation to the conductance method, and also extracted using the temperature-modified high-low frequency C-V method, indicate that the same defect is pre

139 citations


Journal ArticleDOI
TL;DR: In this article, the authors summarized the recent progress in the understanding of the dielectric/III-V interface, particularly in regard to the interfacial chemistry that impacts the resultant electrical behavior observed.
Abstract: The prospect of enhanced device performance from III–V materials has been recognized for at least 50 years, and yet, relative to the phenomenal size of the Si-based IC industry, these materials fulfilled only specific niches and were often referred to as “the material of the future” [1] . A key restriction enabling widespread use of III–V materials is the lack of a high quality, natural insulator for III–V substrates like that available for the SiO2/Si materials system [2] . The prospect of impending scaling challenges for technologies based on silicon metal oxide semiconductor field effect transistor (MOSFET) devices has brought renewed focus on the use of alternate surface channel materials from the III–V compound semiconductor family. The performance of the traditional MOSFET device structure is dominated by defects at the semiconductor/oxide interface, which in turn requires a high quality semiconductor surface. In this review, reflecting the authors’ current opinion, the recent progress in the understanding of the dielectric/III–V interface is summarized, particularly in regard to the interfacial chemistry that impacts the resultant electrical behavior observed. The first section summarizes the nature of the oxidation states of surface oxides on InxGa1−xAs. Then the atomic layer deposition of such oxides on the InxGa1−xAs surface is summarized in view of the interfacial chemical reactions employed. Finally the resultant electrical properties observed are examined, including the effects of substrate orientation. Portions of this review have been published previously [3] , [4] .

128 citations


Journal ArticleDOI
TL;DR: In this paper, a comprehensive review of the various parameters used as well as determining the optimal processing conditions in terms of sample pre-treatments, temperature of the (NH 4 ) 2 S solution, length of time the sample is in the solution and ammonium sulphide concentration, by measuring the level of residual native oxides and surface roughness by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM), respectively.

78 citations


Journal ArticleDOI
TL;DR: In this paper, the authors examined the correlation of the interfacial chemistry of atomic layer deposited, high-k/III-V interfaces with device behavior in view of first principles modelling and found that the oxidation of the interface results in the formation of persistent deleterious defects such as As dimers.

66 citations


Journal ArticleDOI
TL;DR: In this paper, the ground state of the strained LaCoO3 on (100)-oriented silicon is shown to be ferromagnetic with a TC of 85 K. The ground state is stabilized by a sufficiently large biaxial tensile strain with the transition accompanied by a partial untilting of the CoO6 octahedra.
Abstract: We have grown epitaxial strained LaCoO3 on (100)-oriented silicon by molecular beam epitaxy using a relaxed epitaxial SrTiO3 buffer layer. Superconducting quantum interference device magnetization measurements show that, unlike the bulk material, the ground state of the strained LaCoO3 on silicon is ferromagnetic with a TC of 85 K. First principles calculations suggest that a ferromagnetic ground state can be stabilized in LaCoO3 by a sufficiently large biaxial tensile strain with the transition accompanied by a partial untilting of the CoO6 octahedra.

59 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the interface trap density and bonding of the HfO2/InP interface and the energy distribution of interface states extracted using capacitance-voltage measurements.
Abstract: The interface trap density (Dit) and bonding of the HfO2/InP interface is investigated. The energy distribution of interface states extracted using capacitance-voltage measurements show a peak near midgap in InP and a tail, which extends into the InP conduction band. Both the Dit peak and the conduction band Dit increase with increasing post-deposition annealing temperature. A substantial increase in the native oxides with annealing temperature is observed with x-ray photoelectron spectroscopy. The possible bonding states responsible for the defects are presented.

54 citations


Journal ArticleDOI
TL;DR: In this paper, the evolution of interfacial chemistry was investigated with monochromatic X-ray photoelectron spectroscopy (XPS) following heat treatment and exposure to trimethylaluminum (TMA) and deionized water (DIW) in an atomic layer deposition reactor.

50 citations


Journal ArticleDOI
TL;DR: In this paper, the interfacial reactions between atomic layer deposited Al2O3 films on various chemically treated InP(100) surfaces have been investigated by in situ X-ray photoelectron spectroscopy at each half cycle in the deposition process.
Abstract: The interfacial reactions between atomic layer deposited Al2O3 films on various chemically treated InP(100) surfaces have been investigated by in situ X-ray photoelectron spectroscopy at each half cycle in the deposition process. With the first cycle of trimethyl aluminum, a significant decrease in the amount of indium oxides present on the surface is seen, consistent with the "clean up" effect reported for other III–V semiconductor surfaces. However, a concurrent increase in the amount of phosphorous oxide is seen, suggesting oxygen transfer from indium oxides to phosphorous during indium oxide decomposition.

Journal ArticleDOI
TL;DR: In this article, the angular dependence of the X-ray photoelectron spectroscopy (XPS) signal is influenced not only by the electron take-off angle, but also by instrument-related geometrical factors.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the effect of dielectric tuning layers on the contact resistance of FinFETs with Schottky barrier heights (SBHs) and showed that the reduced contact resistivity results in an ≈25% increase in drive current and a reduction in RS/D.
Abstract: Recent experiments have demonstrated the ability to alleviate Fermi-level pinning, resulting in reduced Schottky barrier heights (SBHs) and reduced contact resistivity by inserting thin layers of dielectric at the contact interface. In this letter, FinFETs with dielectric SBH tuning layers are investigated and shown to have reduced contact resistance over the control wafer. The reduced contact resistivity results in an ≈25% increase in drive current as well as a reduction of RS/D by 100 Ω · μm. Contact chain measurement shows a 10-Ω · μm2 reduction in specific contact resistivity over the control wafer associated with a 100-meV reduction in SBH. Routes to further improvements in device performance are discussed, including key material considerations for dielectric tuning layers.

Journal ArticleDOI
TL;DR: In this article, the impact of sulfur passivation on the structural and electronic properties of the HfO2/GaAs interface was investigated by density functional theory with a hybrid functional.
Abstract: The impact of sulfur passivation on the structural and electronic properties of the HfO2/GaAs interface is investigated by density functional theory with a hybrid functional. The gap states at the HfO2/GaAs interface arise from three major contributions: Ga 3+ and partial oxidation, As–As dimers, and Ga dangling bonds. By introducing S atoms at the interface, the removal of the gap states within the lower half of the GaAs band gap is observed, while the gap states in the upper half are pushed upward by ∼0.15 eV.

Journal ArticleDOI
TL;DR: In this article, XPS survey and multiplex spectra were collected from 47 nm thick HfO2 films grown by atomic layer deposition (ALD) and measurements were made for as-received, ozone cleaned and surfaces cleaned by sputtering with 2kV Ar+ for 180 sec.
Abstract: XPS survey and multiplex spectra were collected from 47 nm thick HfO2 films grown by atomic layer deposition (ALD). Measurements were made for as-received, ozone cleaned and surfaces cleaned by sputtering with 2kV Ar+ for 180 sec. The data were collected on a Physical Electronics Quantum 2000 Scanning ESCA Micoprobe using monochromatic Al Kα x-ray (1486.7 eV) excitation. The ALD films were grown using tetraethylmethylaminohafnium (TEMAH) as a precursor. Survey spectra showed the presence of C, O and Hf. Surface hydrocarbon contamination made up approximately 19 atom percent (at%) or approximately 0.5 monolayers (ML) of the as received surface concentration. After treatment by UV in air, the surface hydrocarbon concentration was reduced to approximately 2.5 at% or 0.06 ML. The 1 at% of carbon that remained after sputtering had a binding energy characteristic of carbide believed to be formed by the ion sputtering process. The formation of the low binding energy Hf 4f lines indicates reduction of the Hf oxid...

Journal ArticleDOI
TL;DR: In this paper, Ga2O interfacial passivation layers (IPLs) on In0.53Ga0.47As were investigated using in-situ monochromatic x-ray photoelectron spectroscopy.
Abstract: Ga2O interfacial passivation layers (IPLs) on In0.53Ga0.47As are investigated using in-situ monochromatic x-ray photoelectron spectroscopy. The oxide is entirely composed of Ga2O when deposited with an effusion cell temperature of 1500 °C and substrate temperature of 425 °C. The growth on In0.53Ga0.47As reveals slight chemical modification of the surface. The Ga2O behavior and ability to protect the III-V surface are observed following Al2O3 deposition by atomic layer deposition following each precursor pulse. Al2O3 growth by trimethyl-Al (TMA) and water reveals that the IPL undergoes the “clean-up” effect following TMA exposures causing As-As bonding formation resulting in a high interface state density.

Journal ArticleDOI
TL;DR: In this article, an epitaxial ferromagnetic LaCoO"3 on (100)-oriented silicon was grown by molecular beam epitaxy using a relaxation of the buffer layer.

Journal ArticleDOI
TL;DR: In this paper, the growth of gallium oxides on the GaAs(001) surface and GaXO/GaAs interface was investigated using first principles molecular dynamics, and it was found that oxygen and surface As-As dimer have a mutual diffusion when Ga2O3 molecular species gradually precipitate on the As(001)-β2(2.2.4) surface until the surface is fully covered by one monolayer.
Abstract: A theoretical scheme is used to investigate atomic and electronic structures of the growth of gallium oxides on the GaAs(001) surface and GaXO/GaAs interface. Using first principles molecular dynamics, it is found that oxygen and surface As-As dimer have a mutual diffusion when Ga2O3 molecular species gradually precipitate on the GaAs(001)-β2(2 × 4) surface until the surface is fully covered by one monolayer. One Ga-Ga dimer and a Ga atom with low coordination are observed. These bondings lead to gap states located in the upper half region of the GaAs bandgap. Further partial charge analysis shows that these gap states arise from Ga-dangling bonds and the low-coordinated Ga atoms which are removed by the subsequent Ga2O growth. Moreover, further Ga2O growth results in the formation of unsaturated As-O. Consequently, gap states are introduced in the lower part of the GaAs gap. In both cases, F assists in eliminating unsaturated Ga- or As-related bonds and shows a significant passivation effect, i.e., removing the gap states.

Journal ArticleDOI
TL;DR: Oxygen atom adsorption on GaAs during initial surface oxidation is studied by density functional theory in this paper, where it is shown that one or two oxygen atoms satisfy the bond saturation conditions leading to no effect on the surface gap states.

Journal ArticleDOI
TL;DR: Schottky barrier height tuning is reported from the insertion of thin layers of AlOx and SiO2 at the interface between tantalum nitride and p-type silicon in this paper.
Abstract: Schottky barrier height tuning is reported from the insertion of thin layers of AlOx and SiO2 at the interface between tantalum nitride and p-type silicon. The magnitude of the change in the barrier height is found to be dependent on the conditions of AlOx and SiO2 formation. The largest change in barrier height is over 350 meV and correlates well with the intrinsic dipole found at this interface. These findings are then interpreted using a model of the dipole formation at the high-κ and SiO2 interface. The application of these findings for low resistance contacts as well as options to achieve greater performance are discussed.

Journal ArticleDOI
TL;DR: In this paper, a theoretical study on the interface between GaAs and HfO2 was performed and the intrinsic gap states were mainly originated from Ga dangling bonds, partial Ga-oxidation, and As−As dimers in the reconstructed interface structures.
Abstract: A theoretical study on atomic structures and electronic properties of the interface between GaAs and HfO2 is reported. The intrinsic gap states are mainly originated from Ga dangling bonds, partial Ga-oxidation, and As−As dimers in the reconstructed interface structures. Si passivation interlayer can introduce two types of Si local bonding configuration of Si interstitial or substitutional defects (SiHf). SiHf–passivated interfaces are found to be energetically stable and can suppress the interfacial flat bandgap state stemming from partial Ga-oxidation into the valence band of bulk GaAs. Furthermore, gap states near the conduction bandedge are partially reduced. With the increase of Si concentration at the interface, the charge state of interfacial Ga decreases from +1.26 to between +0.73 and +0.80, and this change shows a Ga oxidation state transformation from Ga2O3 (+1.7) to Ga2O (+0.52) states. The metastable Si interstitials also eliminate Ga2O3-oxidation state and creates Ga2O-like Ga charge state a...

Journal ArticleDOI
25 Apr 2011
TL;DR: In this paper, the chemical bonding of ALD deposited high-k oxides on various III-V semiconductors with multiple surface chemical treatments via in-situ, x-ray photoelectron spectroscopy (XPS) was investigated.
Abstract: The growth of high-k oxides on III-V surfaces is of vital importance if high-mobility channels are to replace Si in upcoming CMOS technology nodes. Whether these dielectrics are deposited directly on the channel material itself for surface channel devices or on a III-V barrier layer for reduced leakage in buried channel devices, the chemical bonding at these interfaces must be understood to control its impact on transport properties. We have investigated the chemical bonding of ALD deposited high-k oxides on various III-V semiconductors with multiple surface chemical treatments via in-situ, x-ray photoelectron spectroscopy (XPS). A correlation of these interfacial chemical bonds to defect states and device performance of MOS devices fabricated with these same interfaces has been achieved.

Journal ArticleDOI
TL;DR: In this article, a patterned atomic layer epitaxy (ALE) using a scanning tunneling microscopy tip to depassivate an area of a H-terminated Si(001) surface, creating a pattern for subsequent Si growth, should lead to a new generation of atomically precise structures.
Abstract: Atomically controlled epitaxy of semiconductor-on-semiconductor is important for the construction of nanometer-scale devices such as quantum dots, qubits for quantum computing, nanoelectromechanical systems (NEMS) oscillators, and nanobiomedical devices. For instance, patterned atomic layer epitaxy (ALE) using a scanning tunneling microscopy tip to depassivate an area of a H-terminated Si(001) surface, creating a pattern for subsequent Si growth, should lead to a new generation of atomically precise structures. Vapor phase epitaxy is well-suited to achieve controlled deposition, as the precursors are not reactive with the H-terminated background, unlike Si atoms from solid-source evaporation. Disilane (Si2H6) is arguably the best precursor for Si ALE on Si or Ge surfaces at moderate temperatures; yet, its adsorption configuration and subsequent decomposition pathways are not well understood. Combining experimental data from in situ infrared absorption spectroscopy (IRAS), scanning tunneling microscopy (ST...

Journal ArticleDOI
TL;DR: In this article, the effective electron mobility of In0.53Ga0.47 transistors with HfO2 gate oxide was measured over a wide range of channel doping concentration.
Abstract: The effective electron mobility of In0.53Ga0.47As metal-oxide-semiconductor field-effect-transistors with HfO2 gate oxide was measured over a wide range of channel doping concentration. The back bias dependence of effective electron mobility was used to correctly calculate the vertical effective electric field. The effective electron mobility at moderate to high vertical effective electric field shows universal behavior independent of substrate impurity concentration.

Journal ArticleDOI
TL;DR: Results indicate that thick HfO2 is not suitable for use in SiO-2-sub/HfO- 2-sub stacks for tunnel barrier engineering applications, and a method to improve the program current in these structures is proposed.
Abstract: Despite theoretical predictions of significant performance improvement in Flash memory devices using tunnel-barrier-engineered (TBE) structures, there have been very few reports that demonstrate experimental verification. In this work, we have studied the role of factors such as high-k layer thickness and annealing recipe on the performance of SiO2/HfO2 gate stacks by electrical and physical characterization techniques. Results indicate that thick HfO2 is not suitable for use in SiO2/HfO2 stacks for tunnel barrier engineering applications. The performance of SiO2/HfO2 stacks improves with decreasing thickness of the HfO2 layer. Mild (10%) O2/N2 anneals do not significantly affect performance, although annealing above 600°C resulted in a slight decrease in the program current. Based on our observations, we propose a method to improve the program current in these structures and a simple hypothesis for the physical model for tunneling in SiO2/HfO2 stacks.



Proceedings ArticleDOI
01 Dec 2011
TL;DR: In this article, the surface characterization of nickel germanides formed on germanium with different RTA treatments by using SEM, XRD and XPS techniques was performed using the Schottky barrier height of NiGe/Ge diodes.
Abstract: Germanium is of unique interest for CMOS technology because of its high electron and hole mobilities compared with those of its counterpart silicon [1] Significant progress has been in germanium p-MOSFETs, while in n-MOSFETS there are some hindrances The diffusivity and poor activation of dopants in Ge [2] will not allow the formation of shallow junctions and low resistivity source and drain regions in Ge MOSFETs Implantless fabrication with nickel germanide Schottky source and drain contacts is an alternative approach to fabricate Ge p-MOSFETs S Zhu et al [3] demonstrated the fabrication of nickel germanide based p-MOSFETs D R Gajula et al [4] showed the effect of RTA temperature on the Schottky barrier height (Ф bn ) of nickel germanides on Ge and observed Ф bn of 06–07 eV for RTA at approximately 300 °C So the barrier height for holes (Ф bp = E g -Ф bn ) is nearly zero, which is suitable for Schottky based p-MOSFETs Electrical characterization of NiGe/Ge diodes was used to measure the Schottky barrier height Surface characterization of these nickel germanides is very important in optimizing the fabrication of germanide based Ge p-MOSFETs In this work, we show the surface characterization of nickel germanides formed on germanium with different RTA treatments by using SEM, XRD and XPS techniques