scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 1995"


Journal ArticleDOI
TL;DR: In this article, a nanoimprint process that presses a mold into a thin thermoplastic polymer film on a substrate to create vias and trenches with a minimum size of 25 nm and a depth of 100 nm has been demonstrated.
Abstract: A nanoimprint process that presses a mold into a thin thermoplastic polymer film on a substrate to create vias and trenches with a minimum size of 25 nm and a depth of 100 nm in the polymer has been demonstrated. Furthermore, the imprint process has been used as a lithography process to fabricate sub‐25 nm diameter metal dot arrays of a 100 nm period in a lift‐off process. It was found that the nanostructures imprinted in the polymers conform completely with the geometry of the mold. At present, the imprinted size is limited by the size of the mold being used; with a suitable mold, the imprint process should mold sub‐10 nm structures with a high aspect ratio in polymers. The nanoimprint process offers a low cost method for mass producing sub‐25 nm structures and has the potential to become a key nanolithography method for future manufacturing of integrated circuits and integrated optics.

2,749 citations


Journal ArticleDOI
TL;DR: In this article, a variety of PPA surfaces have been prepared using identical single-layer and double-layer NSL masks made by self-assembly of polymer nanospheres with diameter, D =264 nm, and varying both the substrate material S and the particle material M. In the examples shown here, S was an insulator, semiconductor, or metal and M was a metal, inorganic ionic insulator or an organic π-electron semiconductor.
Abstract: In this article nanosphere lithography (NSL) is demonstrated to be a materials general fabrication process for the production of periodic particle array (PPA) surfaces having nanometer scale features. A variety of PPA surfaces have been prepared using identical single‐layer (SL) and double‐layer (DL) NSL masks made by self‐assembly of polymer nanospheres with diameter, D=264 nm, and varying both the substrate material S and the particle material M. In the examples shown here, S was an insulator, semiconductor, or metal and M was a metal, inorganic ionic insulator, or an organic π‐electron semiconductor. PPA structural characterization and determination of nanoparticle metrics was accomplished with atomic force microscopy. This is the first demonstration of nanometer scale PPA surfaces formed from molecular materials.

1,470 citations


Journal ArticleDOI
TL;DR: In this paper, a negative tone photoresist, SU•8, was proposed for ultrathick layer applications, achieving an aspect ratio of 10:1 using near-ultraviolet lithography in a 200μm-thick layer.
Abstract: This article describes a new negative‐tone photoresist, SU‐8, for ultrathick layer applications. An aspect ratio of 10:1 has been achieved using near‐ultraviolet lithography in a 200‐μm‐thick layer. The use of this resist for building tall micromechanical structures by deep silicon reactive‐ion etching and electroplating is demonstrated. Using SU‐8 stencils, etched depths of ≳200 μm in Si and electroplated 130‐μm‐thick Au structures with near‐vertical sidewalls have been achieved.

445 citations


Patent
12 Jul 1995
TL;DR: In this paper, a thin film formed of a mixture of metal powders and metallo-organic decomposition (MOD) compounds in an organic liquid vehicle and a process for advantageously applying them to a substrate by silk screening or other printing technology is described.
Abstract: The present invention relates to a thick film formed of a mixture of metal powders and metallo-organic decomposition (MOD) compounds in an organic liquid vehicle and a process for advantageously applying them to a substrate by silk screening or other printing technology. The mixtures preferably contain metal flake with a ratio of the maximum dimension to the minimum dimension of between 5 and 50. The vehicle may include a colloidal metal powder with a diameter of about 10 to about 40 nanometers. The concentration of the colloidal metal in the suspension can range from about 10 to about 50% by weight. The MOD compound begins to decompose at a temperature of approximately about 200° C. to promote consolidation of the metal constituents and bonding to the substrate which is complete at temperatures less than 450° C. in a time less than six minutes. The mixtures can be applied by silk screening, stencilling, gravure or lithography to a polymer-based circuit board substrate for producing rigid and flexible printed wiring boards in a single operation with negligible generation of hazardous wastes. The same mixtures can be used in place of solder to assemble circuits by bonding electrical components to conductors as well as to make the conductors themselves.

251 citations


Journal ArticleDOI
TL;DR: In this paper, a detailed description of deep X-ray lithography and an overview of the variety of microstructure products fabricated using the LIGA technique has been presented, including sensors, actuators, micromechanical components, microoptical systems, electrical and optical microconnectors.

218 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe the fabrication of three-dimensional photonic crystals using a reproducible and reliable procedure consisting of electron beam lithography followed by a sequence of dry etching steps.
Abstract: We describe the fabrication of three-dimensional photonic crystals using a reproducible and reliable procedure consisting of electron beam lithography followed by a sequence of dry etching steps. Careful fabrication has enabled us to define photonic crystals with 280 nm holes defined with 350 nm center to center spacings in GaAsP and GaAs epilayers. We construct these photonic crystals by transferring a submicron pattern of holes from 70-nm-thick polymethylmethacrylate resist layers into 300-nm-thick silicon dioxide ion etch masks, and then anisotropically angle etching the III-V semiconductor material using this mask. Here, we show the procedure used to generate photonic crystals with up to four lattice periods depth.

179 citations


Patent
22 Mar 1995
TL;DR: In this article, Adaptive optical connections are formed, taking into account the actual position and orientation of devices which may deviate from the ideal, and a shrink back method is used to form a tunnel in polymer material which is then filled with an uncured polymer material that shrinks upon curing.
Abstract: HDI fabrication techniques are employed to form a variety of optical waveguide structures in polymer materials. Adaptive optical connections are formed, taking into account the actual position and orientation of devices which may deviate from the ideal. Structures include solid light-conducting structures, hollow light-conducting structures which are also suitable for conducting cooling fluid, and optical switching devices employing liquid crystal material. A "shrink back" method may be used to form a tunnel in polymer material which is then filled with an uncured polymer material that shrinks upon curing.

163 citations


Journal ArticleDOI
TL;DR: This work deposited neutral-aluminum atoms in thin parallel lines to form a grating with a line separation of 154.7 nm by using near-resonant laser light and direct-write optical lithography techniques, and analyzed the aluminum features with an atomic-force microscope.
Abstract: We deposited neutral-aluminum atoms in thin parallel lines to form a grating with a line separation of 154.7 nm by using near-resonant laser light and direct-write optical lithography techniques. We did this by using the single-frequency closed UV transition from the second ground state 3p2P3/2 (F = 4) to 3d2D5/2 (F = 5) at 309.4 nm. The aluminum features were analyzed with an atomic-force microscope.

146 citations


Proceedings ArticleDOI
09 Jun 1995
TL;DR: In this article, a new epoxy-based resist that can be used to achieve high aspect ratios (> 10:1) using UV lithography is presented. But, the resist images exhibit straight sidewalls and developed patterns, have excellent thermal stability, good adhesion and chemical resistance.
Abstract: In recent years, increased activity in micromachining has driven the need for high aspect ratio thick films resist systems. This paper discusses a new epoxy based resist that can be used to achieve high aspect ratios (> 10:1) using UV lithography. The resulting negative resist system provides sharp, clean images in thick films (> 200 micrometers ). Because of the high aspect ratio and short exposure times, this material may be a viable candidate for producing the images required for micromachined parts. The resist images exhibit straight sidewalls and developed patterns, have excellent thermal stability, good adhesion, and chemical resistance. The high aspect ratio and high thermal stability make these epoxy resists suitable for other packaging applications such as plating stencils and optical wave guides.© (1995) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

146 citations


Journal ArticleDOI
TL;DR: In this article, the vibrating tip of an atomic force microscope was used as a lithographic tool to mechanically pattern a thin photoresist layer covering a GaAs-AlGaAs heterostructure.
Abstract: We employ the vibrating tip of an atomic force microscope as a lithographic tool to mechanically pattern a thin photoresist layer covering a GaAs–AlGaAs heterostructure. High aspect ratio electron beam deposited tips, additionally sharpened in an oxygen plasma, are used to minimize the dimensions of the fabricated quantum electronic devices. The fabrication parameters of the tips and the sharpening process are investigated. With these ultrasharp tips we are able to produce lines and holes with periods down to 9 nm in photoresist. In addition, the very sharp tips yield substantial improvements in the imaging mode.

144 citations


Journal ArticleDOI
TL;DR: In this article, achromatic interferometric lithography was used to fabricate large-area coherent gratings and grids with spatial periods of 100 nm, which is the minimum required for the fabrication of periodic structures.
Abstract: For the fabrication of periodic structures with spatial periods of 100 nm or less, achromatic interferometric lithography is preferred over other lithographic techniques. We report on processes we have developed, using achromatic interferometric lithography, to fabricate large‐area coherent gratings and grids with spatial periods of 100 nm.

Patent
19 Jun 1995
TL;DR: In this article, the phase assignment for a phase shift mask is determined by a technique which determines, without assignment conflict, the intersection of the gate pattern with the active gate pattern and divides the intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.
Abstract: A method of performing poly level lithography in manufacturing an integrated circuit using a phase shift mask in a step and repeat optical tool where the phase assignment for said phase shift mask is determined by a technique which determines, without assignment conflict, the Intersection of the gate pattern with the active gate pattern and which divides the Intersection into categories of stacks where a slightly different phase assignment rules is employed for the different stacks.

Patent
06 Jun 1995
TL;DR: In this paper, multiple-exposure fine-line interferometric lithography combined with conventional optical lithography is used in a sequence of steps to define arrays of complex, nm-scale structures in a photoresist layer.
Abstract: Multiple-exposure fine-line interferometric lithography, combined with conventional optical lithography, is used in a sequence of steps to define arrays of complex, nm-scale structures in a photoresist layer. Nonlinearities in the develop, mask etch, and Si etch processes are used to modify the characteristics and further reduce the scale of the structures. Local curvature dependent oxidation provides an additional flexibility. Electrical contact to the quantum structures is achieved. Uniform arrays of Si structures, including quantum wires and quantum dots, are produced that have structure dimensions on the scale of electronic wave functions. Applications include enhanced optical interactions with quantum structured Si, including optical emission and lasing and novel electronic devices based on the fundamentally altered electronic properties of these materials. All of the process sequences involve parallel processing steps to make large fields of these quantum structures. The processes are, further, consistent with modern micro lithographic manufacturing practice, promising inexpensive and large-scale manufacture.

Journal ArticleDOI
TL;DR: The LIGA technique, which is being developed at the Research Center Karlsruhe, offers the possibility to manufacture microstructures with arbitrary lateral geometry, lateral dimensions down to below 1 /spl mu/m and aspect ratios up to 500 from a variety of materials.
Abstract: The LIGA technique, which is being developed at the Research Center Karlsruhe, offers the possibility to manufacture microstructures with arbitrary lateral geometry, lateral dimensions down to below 1 /spl mu/m and aspect ratios up to 500 from a variety of materials (metals, plastics, and ceramics). The basic steps of X-ray lithography, electroplating, and plastic molding, are briefly described. Examples of applications of the LIGA technique are: optical components (high performance microspectrometer), mechanical components (acceleration sensor with integrated temperature compensation), and fluidic components (micropumps) which are presented and discussed. Microcomponents will be of limited interest in the future if it will not be possible to integrate them into microsystems. Microsystems must be more powerful than the sum total of their components. This is discussed in the final chapter of this article. >

Patent
19 Sep 1995
TL;DR: Lithographic printing plates suitable for imaging by means of laser devices that emit in the near-infrared region have been used to produce images as mentioned in this paper, where the image features exhibit an affinity for ink or an inkabhesive fluid that differs from that of unexposed areas.
Abstract: Lithographic printing plates suitable for imaging by means of laser devices that emit in the near-infrared region Laser output ablates a titanium layer sandwiched between an oleophobic or hydrophilic layer and a polyester layer, resulting in an imagewise pattern of features on the plate The image features exhibit an affinity for ink or an ink-abhesive fluid that differs from that of unexposed areas


Journal ArticleDOI
TL;DR: In this paper, an atomic force microscope (AFM) is used to plow a pattern through the top of two resist layers spun onto a substrate, and the resist is then developed to create a mask through which material can be deposited.
Abstract: We describe a novel technique for fabricating metallic nanostructures on an arbitrary substrate using an atomic force microscope (AFM). An AFM is used to plow a pattern through the top of two resist layers spun onto a substrate. The resist is then developed to create a mask through which material can be deposited. By changing the applied force, the top resist‐layer thickness, or the development time, the linewidth can be varied. Continuous metallic wires ∼500 A×400 A×15 μm have been fabricated on bare substrates and between contact pads.

Patent
10 Feb 1995
TL;DR: A lithographic printing plate that has an imaging layer that comprises an admixture of resole resin, novolac resin, latent Bronsted acid and an infrared absorber is shown in this paper.
Abstract: A lithographic printing plate that has an imaging layer that comprises an admixture of (1) a resole resin, (2) a novolac resin, (3) a latent Bronsted acid and (4) an infrared absorber; that is sensitive to both ultraviolet and infrared radiation; and that is capable of functioning in either a positive-working or negative-working manner is imagewise exposed with a laser diode that emits a high-intensity infrared laser beam which provides enhanced image sharpness.

Patent
16 Mar 1995
TL;DR: In this article, the authors described a method for the exposure of sparse hole and mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material.
Abstract: Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

Patent
04 Dec 1995
TL;DR: In this paper, an atomic force microscope is used to scan a silicon wafer in either the contact or non-contact mode, and an array of cantilevers are placed adjacent the wafer to be patterned.
Abstract: A lithography system includes a plurality of cantilevers, preferably formed in a silicon wafer. Each cantilever includes a tip located near the free end of the cantilever and an electrical conduction path which extends along the length of the cantilever to the tip. A switch is included in the conduction path to control the voltage at the tip of the cantilever. The array of such cantilevers is positioned adjacent a wafer which is to be patterned, in the manner of an atomic force microscope operating in either the contact or noncontact mode. The cantilever array is scanned over the wafer, preferably in a raster pattern, and the individual switches are operated so as to control an electric current or electric field at the tip of each cantilever. The electric current or field is used to write a pattern on a layer of resist coating the wafer or on the surface of the wafer itself. Alternatively, the lithographic pattern may be formed by using the tip to scribe lines in a thin layer of soft material coating the wafer.

Journal ArticleDOI
TL;DR: In this paper, direct write lithography on a 100 nm scale has been carried out using the near-field optical interaction between an uncoated tapered fiber tip and a layer of photoresist.
Abstract: Direct‐write lithography on a 100 nm scale has been carried out using the near‐field optical interaction between an uncoated tapered fiber tip and a layer of photoresist. This allows both lithography and shear force microscopic examination of the surface, which reveals morphological changes in the photoresist before development.

Journal ArticleDOI
TL;DR: In this article, large area arrays of dots have been patterned in Au/Co/Au(111) sandwiches with ultrathin Co layers and a perpendicular easy magnetization axis.
Abstract: Large area arrays of dots have been patterned in Au/Co/Au(111) sandwiches with ultrathin Co layers (0.6 to 2 nm) and a perpendicular easy magnetization axis. Dot dimensions down to 0.2 μm have been achieved using x‐ray lithography, with either positive resist and direct ion beam etching or a lift‐off process with aluminum mask. Both processes have been tested against the damages they induce to the fragile structure of the samples. The magneto‐optical effects and magnetization reversal processes in the arrays have been characterized versus Co thickness, dot dimension, and lattice aspect ratio. For high quality samples, the domain walls propagation mechanism that drives magnetization reversal in as‐grown films is drastically modified in dot arrays, leading to a large increase of the coercive field with dot diameter reduction, together with changes in the shape of the hysteresis loops.

Journal ArticleDOI
TL;DR: In this paper, the effect of electron beam damage on the monolayers and subsequent etching reactions has been explored through x-ray photoelectron spectroscopy, and it was shown that reactive ion etching of GaAs with Cl2 at very low dc biases (<10 V) results in a negative tone.
Abstract: Self‐assembled monolayers of octadecylsiloxane and octadecylthiol have been modified by high‐resolution electron beam lithography. Focused electron beams from 1 to 50 keV and scanning tunneling microscopy at ∼10 eV have been used as patterning tools. The patterns have been transferred into many substrates by wet, dry, and combinations of wet and dry etches. Wet etching almost always results in a positive tone, but reactive ion etching of GaAs with Cl2 at very low dc biases (<10 V) results in a negative tone. The effect of electron beam damage on the monolayers and the subsequent etching reactions has been explored through x‐ray photoelectron spectroscopy.

Journal ArticleDOI
TL;DR: In this paper, a high resolution cross-linked PMMA resist has been synthesized and optimized for the generation of zone plate patterns down to 19 nm linewidth with e−beam lithography.
Abstract: A high resolution cross‐linked PMMA resist has been synthesized and optimized for the generation of zone plate patterns down to 19 nm linewidth with e‐beam lithography. This resist shows an increased resolution compared to PMMA for generating periodic structures with a line to space ratio of 1:1. Furthermore, we developed a cross‐linked copolymer based on styrene and divinylbenzene, which is used in a new trilevel reactive ion etching (RIE) process. In this process a resist pattern of low aspect ratio can be transferred into a copolymer galvanoform with high aspect ratios for the electrodeposition of nickel. The copolymer has also been used as a highly selective etching mask for zone plate pattern transfer into germanium by RIE.

Patent
03 Feb 1995
TL;DR: In this paper, a modified version of the SCREAM process is used for fabrication of ultra-high aspect ratio, wafer-free, single crystal silicon movable micromechanical devices and frame structures of large vertical depth and narrow linewidth.
Abstract: A masking process resulting from a modified version of the SCREAM process is used for the fabrication of ultra-high aspect ratio, wafer-free, single crystal silicon movable micromechanical devices and frame structures of large vertical depth and narrow linewidth The process is single-mask, self-aligned and allows the formation of releasable three-dimensional frame-like objects of arbitrary shape which can be made up to about half the wafer thickness in depth and can be subsequently lifted off the substrate and placed on any other material to be used as a mask or to be integrated with other devices The process consists of a single lithography step and a repeated sequence of thermal oxidations and reactive ion etchings

Journal ArticleDOI
Bernhard Wagner1, Hans Joachim Quenzer1, W. Henke1, W. Hoppe, W. Pilz1 
TL;DR: In this paper, a study of a methodology for fabrication of relief shaped microstructures using technologies common to standard IC manufacturing processes is presented, with particular emphasis on the design and use of halftone transmission masks for the lithography step required in the fabrication process of mechanical, optical or electronic components.
Abstract: This paper reports on a study of a methodology for fabrication of relief shaped microstructures using technologies common to standard IC manufacturing processes. Particular emphasis is put on the design and use of halftone transmission masks for the lithography step required in the fabrication process of mechanical, optical or electronic components. The design and experimental investigation of grey-tone masks is supported by lithography simulation. Results are presented for both, simulated grey-tone patterns as well as experimental profiles.

Journal ArticleDOI
TL;DR: In this article, the center-to-center spacing of the dot mask is determined by the laser wavelength and interference angle, and some control over the dot diameter is possible by varying the angle of the substrate during metal deposition prior to liftoff.
Abstract: We have fabricated uniform arrays of 120‐nm‐diam dot masks on 300 nm centers using laser interference lithography. Chrome, cobalt, nickel, and germanium dot arrays have been fabricated. The density of these arrays is ≳109 dots/cm2. The standard deviation of the average dot diameter is 7.4% over a 5‐cm‐diam silicon substrate. The center‐to‐center spacing of the dot mask is determined by the laser wavelength and interference angle. Some control over the dot diameter is possible by varying the angle of the substrate during the metal deposition prior to liftoff. We have used a reactive ion etch with these metal dot masks to form single crystal silicon pedestals demonstrating that these structures are suitable for self‐aligned gated field emitter array fabrication.

Patent
07 Jun 1995
TL;DR: A phase shift illuminator (700) is comprised of a light source (704) and a phase modulator (716), typically a flexure beam micromirror array, which transversely modulates the incident light beam.
Abstract: A phase shift illuminator (700) is comprised of a light source (704) and a phase modulator (716), typically a flexure beam micromirror array, which transversely modulates the incident light beam. When a flexure beam micromirror array is used as the phase modulator (716) a polarizing beam splitter (712) and a quarter-wave plate (714) are used to separate the incident and reflected light beams. The phase modulated light beam (720) from the optical illuminator may be used in optical lithography by passing the light beam through a lithography mask (724), typically after the light beam is phase modulated, and focusing the light beam onto a target wafer (726).

Journal ArticleDOI
TL;DR: An iterative method was used to compensate for the proximity effect caused by electron scattering in the resist and from the substrate during the e-beam exposure, which will result in a general cost reduction per element.
Abstract: We present a method to fabricate high-quality and environmentally rugged monolithic diffractive optical elements (DOE's). Analog direct-write e-beam lithography was used to produce analog resist profiles that were transferred into their substrates by the use of chemically assisted ion-beam etching (CAIBE) in one single etching step. An iterative method was used to compensate for the proximity effect caused by electron scattering in the resist and from the substrate during the e-beam exposure. Slope-dependent differential etch rates that occur during the transfer process were characterized and compensated for. Finally, the DOE was divided into regions with different period ranges, and the exposure dosages were set to achieve even and accurate etch depths in the final element. The presented fabrication method will increase manufacturability and reduce processing time, which will result in a general cost reduction per element.

Proceedings ArticleDOI
06 Oct 1995
TL;DR: In this paper, the impact of substrate reflectivity and photoresist contrast on defect printability has been determined using full-scale 3D simulation, and the OPC corrected layouts were studied with a variety of defect types, sizes, and locations.
Abstract: Optical lithography will continue to be a leading patterning technology for 256 Mb and 1 GB DRAM production. As the device size diminishes, all the links of the technological chain must be significantly improved. Photomask technology then becomes one of the critical issues for the semiconductor industry. It is already admitted that only a combination of PSM technology coupled with OPC and state-of-the-art illumination schemes will allow the printing of 0.18 micrometer patterns using optical lithography. It has been shown that new patterning techniques may significantly degenerate mask defect tolerance. This will certainly require a new look at the mask defects detection and classification. A new 'process window' concept proposed by KLA has been applied to the mask defects printability study. Both conventional (chrome) and phase shifted (half-tone) masks have been examined. OPC corrected layouts were studied with a variety of defect types, sizes, and locations. 'Ideal' vs 'real' (corner rounding) reticles were tested. In addition, the impact of substrate reflectivity and photoresist contrast on defect printability has been determined using full scale 3D simulation. We found that implementing the OPC technique may produce new types of defects, and therefore requires a new defect classification.