scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2010"


Journal ArticleDOI
07 May 2010-Science
TL;DR: A scanning probe lithography method based on the local desorption of a glassy organic resist by a heatable probe is presented and demonstrated at a half pitch down to 15 nanometers without proximity corrections and with throughputs approaching those of Gaussian electron beam lithography at similar resolution.
Abstract: For patterning organic resists, optical and electron beam lithography are the most established methods; however, at resolutions below 30 nanometers, inherent problems result from unwanted exposure of the resist in nearby areas. We present a scanning probe lithography method based on the local desorption of a glassy organic resist by a heatable probe. We demonstrate patterning at a half pitch down to 15 nanometers without proximity corrections and with throughputs approaching those of Gaussian electron beam lithography at similar resolution. These patterns can be transferred to other substrates, and material can be removed in successive steps in order to fabricate complex three-dimensional structures.

313 citations


Journal ArticleDOI
TL;DR: In this article, the authors review the radiation chemistry of materials related to chemically amplified resist materials and discuss the imaging mechanisms from energy deposition to proton migration in resist materials are discussed.
Abstract: Historically, in the mass production of semiconductor devices, exposure tools have been repeatedly replaced with those with a shorter wavelength to meet the resolution requirements projected in the International Technology Roadmap for Semiconductors issued by the Semiconductor Industry Association. After ArF immersion lithography, extreme ultraviolet (EUV; 92.5 eV) radiation is expected to be used as an exposure tool for the mass production at or below the 22 nm technology node. If realized, 92.5 eV EUV will be the first ionizing radiation used for the mass production of semiconductor devices. In EUV lithography, chemically amplified resists, which have been the standard resists for mass production since the use of KrF lithography, will be used to meet the sensitivity requirement. Above the ionization energy of resist materials, the fundamental science of imaging, however, changes from photochemistry to radiation chemistry. In this paper, we review the radiation chemistry of materials related to chemically amplified resists. The imaging mechanisms from energy deposition to proton migration in resist materials are discussed.

254 citations


Journal ArticleDOI
TL;DR: This communication presents a novel class of photoresist systems, an example of which is successfully applied to DLW, and argues and shows that commercially available photoinitiators and photoresists containing them are far from ideal for the purpose of STED-DLW.
Abstract: two-photon excitation fluoscence a) The concept of stimulated emission depletion (STED) fl uorescence microscopy introduced by Stefan W. Hell has recently revolutionized the fi eld of optical microscopy [ 1–4 ] and has led to important applications in biology. [ 5 , 6 ] Spectacular lateral spatial resolutions down to less than 10 nm have been reported. [ 7 ] Conceptually, in sharp contrast to what was commonly believed for decades, the “diffraction limit” of far-fi eld optical microscopy is no longer a limit. Obviously, it would be highly desirable to translate this tremendous resolution enhancement in laser-scanning optical microscopy into a corresponding advance in optical lithography , especially in two-photon direct-laser-writing (DLW). [ 8–10 ] DLW can be viewed as the three-dimensional analogue of two-dimensional (planar) electron-beam lithography. If arbitrary nanostructures could be made by STED-DLW with feature sizes of 10 nm in all three dimensions, a nanotechnologists’ dream would come true. However, the step from microscopy to lithography is not simple at all and particularly requires developing suitable photoresist material systems. [ 3 ] Early work on DLW inspired by STED has used one-photon absorption [ 11 ] or one-color schemes. [ 12 ] Both results have been highly encouraging, but they do not yet allow for complete fl exibility in three-dimensional lithography. In contrast to this work, [ 11 , 12 ] our approach is to directly beat the inter-system crossing rate of the photoinitiator molecules by effi cient stimulated emission. As we will argue and show by experiments below, commercially available photoinitiators and photoresists containing them are far from ideal for the purpose of STED-DLW. Thus, in this communication, we present a novel class of photoresist systems, an example of which is successfully applied to DLW. In regular DLW, femtosecond laser pulses are very tightly focused into the volume of a photoresist. [ 8–10 ] By means of twophoton absorption, only a tiny volume is suffi ciently exposed by the light. By computer-controlled scanning of the relative positions of focus and resist via piezoelectric actuators, almost

231 citations


Journal ArticleDOI
TL;DR: In this article, a design and a top-down fabrication method for realizing diamond nanowires in both bulk single crystal and poly-crystalline diamond is presented, where inductively coupled plasma (ICP) reactive ion etching (RIE) with oxygen is used to fabricate the nanowire.

196 citations


Patent
28 Jun 2010
TL;DR: In this paper, the authors present a method for forming electronic devices that involves alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns, which find particular applicability in semiconductor device manufacture.
Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.

176 citations


Patent
Baosuo Zhou1
22 Sep 2010
TL;DR: In this paper, a method of forming features on a target layer is described, where the features have a critical dimension that is triple or quadruple-reduced compared to the critical dimension of portions of a resist layer used as a mask.
Abstract: A method of forming features on a target layer. The features have a critical dimension that is triple- or quadruple-reduced compared to the critical dimension of portions of a resist layer used as a mask. An intermediate layer is deposited over a target layer and the resist layer is formed over the intermediate layer. After patterning the resist layer, first spacers are formed on sidewalls of remaining portions of the resist layer, masking portions of the intermediate layer. Second spacers are formed on sidewalls of the portions of the intermediate layer. After removing the portions of the intermediate layer, the second spacers are used as a mask to foil the features on the target layer. A partially fabricated integrated circuit device is also disclosed.

161 citations


Journal ArticleDOI
TL;DR: An introduction into the plethora of possible structures, which have been created by the combination of photolithographic structuring approaches, and the integration of tailor made surface functionalities into these systems will be highlighted.

157 citations


Journal ArticleDOI
TL;DR: High quality microdisk resonators are demonstrated in a Si(3)N(4) on SiO(2) platform at 652-660 nm with integrated in-plane wrap-around coupling waveguides to enable critical coupling to specific microdisk radial modes.
Abstract: High quality (Q ≈ 6 × 105) microdisk resonators are demonstrated in a Si3N4 on SiO2 platform at 652–660 nm with integrated in-plane wrap-around coupling waveguides to enable critical coupling to specific microdisk radial modes. Selective coupling to the first three radial modes with >20dB suppression of the other radial modes is achieved by controlling the wrap-around waveguide width. Advantages of such pulley-coupled microdisk resonators include single mode operation, ease of fabrication due to larger waveguide-resonator gaps, the possibility of resist reflow during the lithography phase to improve microdisk etched surface quality, and the ability to realize highly over-coupled microdisks suitable for low-loss delay lines and add-drop filters.

142 citations


Patent
Inatomi Yuichiro1
21 Dec 2010
TL;DR: In this paper, a substrate processing method capable of preventing pattern collapse when a rinse solution is removed from a substrate on which a microscopic resist pattern is formed and also capable of reducing cost for processing the substrate by decreasing an amount of usage of a hydrophobicizing agent.
Abstract: There is provided a substrate processing method capable of preventing pattern collapse when a rinse solution is removed from a substrate on which a microscopic resist pattern is formed and also capable of reducing cost for processing the substrate by decreasing an amount of usage of a hydrophobicizing agent. The substrate processing method includes a rinse solution supply process (step S 12 ) for supplying the rinse solution onto the substrate on which the resist pattern is formed; and a rinse solution removing process (steps S 14 to S 16 ) for removing the rinse solution from the substrate in an atmosphere including vapor of a first processing solution that hydrophobicizes the resist pattern.

139 citations


Patent
12 Nov 2010
TL;DR: In this paper, a method and system for fabricating a microelectric device is described, which includes depositing a resist film and curing the resist film at a temperature of at least 180 degrees centigrade.
Abstract: A method and system for fabricating a microelectric device are described. A write pole of an energy assisted magnetic recording head or a capacitor might be fabricated. The method includes depositing a resist film and curing the resist film at a temperature of at least 180 degrees centigrade. A cured resist film capable of supporting a line having an aspect ratio of at least ten is thus provided. A portion of the cured resist film is removed. A remaining portion of the resist film forms the line. An insulating or nonmagnetic layer is deposited after formation of the line. The line is removed to provide a trench in the insulating or nonmagnetic layer. The trench has a height and a width. The height divided by the width corresponds to the aspect ratio. At least part of the structure is provided in the trench.

135 citations


Journal ArticleDOI
TL;DR: In this article, the authors reported that 10-nm half-pitch dense nanostructures can also be readily fabricated using the well known poly(methyl methacrylate) (PMMA) resist operating in negative tone, even at exposure energies as low as 2 keV.
Abstract: Developing high-resolution resists and processes for electron-beam lithography is of great importance for high-density magnetic storage, integrated circuits, and nanoelectronic and nanophotonic devices. Until now, hydrogen silsesquioxane (HSQ) and calixarene were the only two reported negative resists that could approach sub-10-nm half-pitch resolution for electron-beam lithography. Here, the authors report that 10-nm half-pitch dense nanostructures can also be readily fabricated using the well known poly(methyl methacrylate) (PMMA) resist operating in negative tone, even at exposure energies as low as 2 keV. In addition to scanning electron microscopy metrology, transmission electron microscopy metrology was done to confirm the high-resolution capability of negative-tone PMMA. This process was compared to HSQ with salty development and showed similar ultimate resolution, so it could be used as an alternative for applications incompatible with HSQ.

Patent
14 Jun 2010
TL;DR: In this article, a pattern-forming method was proposed to create a film from a chemical amplification resist composition, exposing the film, so as to form an exposed film, and developing the exposed film by using an organic solvent-containing developer.
Abstract: A pattern forming method includes: (i) forming a film from a chemical amplification resist composition; (ii) exposing the film, so as to form an exposed film; and (iii) developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains: (A) a resin substantially insoluble in alkali; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a crosslinking agent; and (D) a solvent, a negative chemical amplification resist composition used in the method, and a resist film formed from the negative chemical amplification resist composition.

Journal ArticleDOI
Wei Wu1, Min Hu1, Fung Suong Ou1, Zhiyong Li1, R. Stanley Williams1 
TL;DR: A cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL), which was shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates.
Abstract: We demonstrated a cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL). Cones with tip radius of less than 10 nm were successfully duplicated onto the UV-curable imprint resist materials from the silicon cone templates. Such cone structures were shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates. In contrast to the silicon nanocones, the SERS substrates based on the Au coated cones made by the NIL offered significant improvement of the SERS signal. A further improvement of the SERS signal was observed when the polymer cones were imprinted onto a reflective metallic mirror surface. A sub-zeptomole detection sensitivity for a model molecule, trans-1,2-bis(4-pyridyl)-ethylene (BPE), on the Au coated NIL cone surfaces was achieved.

Dissertation
24 Mar 2010
TL;DR: Substrate conformal imprint lithography (SCIL) as mentioned in this paper is a novel wafer-scale nanoimprint method with nano-scale resolution which combines the resolution and accuracy of rigid stamps with the flexibility of soft stamp methods.
Abstract: The field of nano-photonics studies the interaction and control of light with dielectric, semiconductor and metal structures which are comparable in size or smaller than the vacuum wavelength of light. In this thesis we present Substrate Conformal Imprint Lithography (SCIL) as a novel wafer-scale nanoimprint method with nano-scale resolution which combines the resolution and accuracy of rigid stamps with the flexibility of soft stamp methods. Chapter two describes the SCIL soft nanoimprint process and introduces a novel silica sol-gel imprint resist. A new soft rubber stamp material is described which enables sub-10 nm resolution. We demonstrate that SCIL imprinted patterns have on average less than 0.1 nm distortion and demonstrate sub–50 nm overlay alignment. Chapter 3 demonstrates 30 nm dense structures and features with aspect ratios from 1/640 up to 5. Imprinted sol-gel patterns can be transferred into underlying materials while maintaining sub-10 nm resolution. Two methods are demonstrated to pattern noble metals in particle arrays and sub-wavelength hole arrays. SCIL is applied to produce photonic crystal power InGaN LEDs which exhibit strong modification of the emission pattern. Chapter 4 demonstrates a relatively simple route towards 3D woodpile type photonic crystals. We show a four layer woodpile type structure with 70 nm features on a 240 nm pitch, which is temperature stable up to 1000 C. Chapter 5 demonstrates a novel fabrication route to large area nano hole arrays, which are interesting as angle independent color filters and for sensor applications. A solid state index matched hole array exhibits SPP mediated super resonant transmission. Chapter 6 treats single mode polarization stabilized Vertical Cavity Surface Emitting Lasers (VCSELs). The lasers produced by SCIL exhibit equal performance as devices produced by e-beam. VCSELs with SCIL imprinted sub-wavelength gratings increase the laser efficiency by 29 % compared to conventional gratings. Chapter 7 studies the improved red light absorption in thin film hydrogenated amorphous silicon (a-Si:H) solar cells with plasmonic back mirrors. Thin film a-Si:H solar cells are made on SCIL structured silver mirrors and smooth reference silver mirrors. Patterning increases the external collection efficiency to 6.2 %, an increase of 26 % compared to smooth reference cells. This increase is due to an enhanced absorption in the 600-800 nm wavelength range. Chapter 8 studies the performance of ultra thin silicon solar cells. We use SCIL to pattern substrates which a large variety of nano patterns on which thin film a-Si:H solar cells are processed with a thickness of 160 and 340 nm. A 160 nm thick silicon cell is also made on randomly textured glass. The best patterned cells with 160 nm thick silicon exhibit an external collection efficiency of 6.6 %, equal to that of the best thicker cells and 37.8% better than flat cells. Crucially, some regular patterns exhibited improved efficiency over cells made on randomly textured glass, which we attribute to coupling of non-absorbed light to waveguide modes in the silicon.

Journal ArticleDOI
TL;DR: Due to the ability of 100 keV electrons to penetrate deep into resist with little scattering, this work was able to directly write various dense and high aspect ratio nanostructures in 540 nm and 1.1 microm thick layers of poly(methyl methacrylate) (PMMA) resist.
Abstract: Due to the ability of 100 keV electrons to penetrate deep into resist with little scattering, we were able to directly write various dense and high aspect ratio nanostructures in 540 nm and 1.1 µm thick layers of poly(methyl methacrylate) (PMMA) resist. The PMMA molds produced by electron beam lithography were developed using a high contrast developer. The molds were used to transfer the pattern into metallic nanostructures by filling the developed trenches with Au by electroplating. By exposing lines narrower than the target width, we observed improved process latitude and line width control. The obtained aspect ratios of the dense structures are nearly 20 in 1.1 µm PMMA layers and > 16 for structures electroplated into this PMMA mold. The fabrication method was successfully applied to produce Au diffractive x-ray Fresnel zone plates of exceptionally good quality with 50 and 70 nm outermost zones using 540 nm and 1.1 µm thick PMMA molds. In addition, we also produced regular arrays of high aspect ratio and dense Au nanorods with periods down to 100 nm and high aspect ratio split-ring resonators.

Journal ArticleDOI
TL;DR: In this paper, a nonvacuum and low temperature process for passivating transparent metal oxides based thin-film transistors is presented, which uses the epoxy-based SU-8 resist which prevents device degradation against environmental conditions, vacuum or sputtering surface damage.
Abstract: A nonvacuum and low temperature process for passivating transparent metal oxides based thin-film transistors is presented. This process uses the epoxy-based SU-8 resist which prevents device degradation against environmental conditions, vacuum or sputtering surface damage. The incorporation of SU-8 as a passivation layer is based on the ability of this polymer to provide features with high mechanical and chemical stability. With this approach, lithography is performed to pattern the resist over the active area of the device in order to form the passivation layer. The resulting transistors demonstrate very good electrical characteristics, such as μFE=61 cm2/V s, VON=−3 V, ON/OFF=4.4×109, and S=0.28 V/dec. Electrical behavior due to the SU-8/metal oxide interface characteristics is also reported on the basis of Fourier transform infrared analysis. In contrast, we demonstrate how sputtering of SiO2 as a passivation layer results in severely degraded devices that cannot be switched-off. In order to obtain pro...

Journal ArticleDOI
TL;DR: In this article, 3D electron beam lithography and thermal reflow were combined to fabricate structures with multilevel and continuous profiles, achieving new shapes, smooth surfaces and sharp corners.
Abstract: 3D electron beam lithography and thermal reflow were combined to fabricate structures with multilevel and continuous profiles. New shapes, smooth surfaces and sharp corners were achieved. By using exposure with variable doses, up to 20 steps were fabricated in a 500 nm thick resist with a lateral resolution of 200 nm. Steps were reflowed into continuous slopes by thermal post-processing, and were transferred into silicon substrates by proportional plasma etching. The method can be used for the fabrication of 3D nanoimprint stamps with both sharp features and continuous profiles.

Patent
24 Mar 2010
TL;DR: In this paper, a resist pattern which includes a region having a thick film thickness and a thinner film thickness than the aforementioned region was formed over the reflective electrode by using a light exposure mask which included a semi-transmission portion.
Abstract: In a semi-transmission liquid crystal display device, two resist masks are required to form a reflective electrode and a transparent electrode; therefore, cost is high. A transparent electrode and a reflective electrode which function as a pixel electrode are stacked. A resist pattern which includes a region having a thick film thickness and a region having a thinner film thickness than the aforementioned region is formed over the reflective electrode by using a light exposure mask which includes a semi-transmission portion. The reflective electrode and the transparent electrode are formed by using the resist pattern. Therefore, the reflective electrode and the transparent electrode can be formed by using one resist mask.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.
Abstract: The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

Journal ArticleDOI
TL;DR: The strong and reproducible SERS spectroscopy for molecules adsorbed on precisely controlled gold quasi-3D nanostructure arrays on PDMS makes it possible for the integration of SERS-active nanopatterns into microfluidic devices as chemical and biological sensors with molecular specificity.
Abstract: A new method was developed to fabricate unique gold quasi-3D plasmonic nanostructures on poly(dimethylsiloxane) PDMS and 2D nanohole arrays on silicon as surface-enhanced Raman scattering (SERS) substrates using electron beam lithography (EBL) with negative tone resist Ma–N 2403 and soft lithography. The size and shape of nanopillars fabricated by EBL were well controlled via different beam conditions. An enhancement factor (EF) as high as 6.4 × 105 was obtained for 4-mercaptopyridine molecules adsorbed on the gold quasi-3D nanostructure array on PDMS with 400 nm diameter, 100 nm spacing and 300 nm depth, while no enhancement was observed for the gold 2D nanohole array on silicon with the same diameter and spacing. The experimental results were confirmed by finite-difference time-domain (FDTD) calculations. Furthermore, the calculated total electric fields showed that the strong SERS exhibited by the gold quasi-3D nanostructure arrays on PDMS is due to the strong localized electric fields at the gold–air interface of the bottom gold nanodisc. The strong and reproducible SERS spectroscopy for molecules adsorbed on precisely controlled gold quasi-3D nanostructure arrays on PDMS makes it possible for the integration of SERS-active nanopatterns into microfluidic devices as chemical and biological sensors with molecular specificity.

Journal ArticleDOI
TL;DR: The relationship between line edge roughness and the concentration gradient of chemical compounds that determines the solubility of the resist (chemical gradient) was investigated in this paper, where two-dimensional (half pitch and exposure dose) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography.
Abstract: We investigated the relationship between line edge roughness (LER) and the concentration gradient of chemical compounds that determines the solubility of the resist (chemical gradient). Two-dimensional (half-pitch and exposure dose) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography. The latent images of resist patterns were successfully reproduced by assuming that LER is inversely proportional to the chemical gradient. The product of LER and normalized chemical gradient was 0.31.

Patent
19 Feb 2010
TL;DR: In this article, the authors proposed a method of manufacturing a perpendicular magnetic recording medium that includes forming a magnetic recording layer 122 on a disk base 110, then forming a resist layer 130 on the magnetic Recording layer, and a patterning step of processing the resist layer so as to vary the thickness of the resist layers partially, thereby forming a predetermined pattern having a recessed part and a projected part.
Abstract: A method of manufacturing a perpendicular magnetic recording medium 100 that includes forming a magnetic recording layer 122 on a disk base 110, then forming a resist layer 130 on the magnetic recording layer, and a patterning step of processing the resist layer so as to vary the thickness of the resist layer partially, thereby forming a predetermined pattern having a recessed part and a projected part. Finally, the method includes implanting ions into a plurality of layers including the magnetic recording layer with the resist layer interposed. At the ion implanting step, (1) one or more of said plurality of layers to be implanted with ions is determined by selectively applying an energy amount to implant ions, and (2) a total amount of ions to be implanted into each of said one or more of said plurality of layers is determined by selectively applying said energy amount for a respective time period for said one or more of said plurality of layers.

Patent
30 Jul 2010
TL;DR: In this paper, a method for fabricating a touch sensor panel is described, which includes providing a substrate for the touch sensor, depositing a conductive material layer on a top surface of the substrate, and affixing a resist to a first area of the metal layer, the resist also adapted to serve as a passivation layer during passivation.
Abstract: A method for fabricating a touch sensor panel is disclosed. The method includes providing a substrate for the touch sensor panel, depositing a conductive material layer on a top surface of the substrate, depositing a metal layer on top of the conductive material layer, affixing a resist to a first area of the metal layer, the resist also adapted to serve as a passivation layer during passivation, removing metal from the metal layer outside of the first area; and performing passivation on the substrate while leaving the affixed resist intact.

Patent
08 Feb 2010
TL;DR: In this article, a method of manufacturing a touch screen, comprising the steps of forming a conductive layer on a substrate, forming an etching resist pattern on the conductive surface, and over-etching the surface by using the resist pattern and the touch screen manufactured by the method.
Abstract: The present invention provides a method of manufacturing a touch screen, comprising the steps of: a) forming a conductive layer on a substrate; b) forming an etching resist pattern on the conductive layer; and c) forming a conductive pattern having a line width smaller than the line width of the etching resist pattern by over-etching the conductive layer by using the etching resist pattern and a touch screen manufactured by the method According to the present invention, a touch screen comprising a conductive pattern having an ultrafine line width can be economically and efficiently provided

Journal ArticleDOI
TL;DR: The line width and line edge roughness (LER) of resist patterns are related to the concentration and its gradient of chemical compounds that determine the solubility of the resist, respectively as discussed by the authors.
Abstract: The line width and line edge roughness (LER) of resist patterns are related to the concentration and its gradient of chemical compounds that determine the solubility of the resist, respectively. Therefore, latent images can be obtained from the line width and LER of resist patterns. In this study, two-dimensional (exposure dose and half-pitch) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists used for extreme ultraviolet (EUV) lithography. In the reconstruction of latent images, the effective reaction radius for catalytic chain reaction is an important parameter. The probable range of effective reaction radius was from 0.05 to 0.2 nm. In this range, latent images were successfully reconstructed. The finding that the effective reaction radius is smaller than the typical size of a counteranion suggests that the resist performance can be improved by increasing the effective reaction radius.

Patent
12 Feb 2010
TL;DR: In this article, the side electrode is formed by forming a metal thin film having an electrode pattern with a predetermined pitch and then depositing a plated layer on the surface of the metal thin-film.
Abstract: PROBLEM TO BE SOLVED: To provide a semiconductor device which has a side electrode formed by a method of easily forming the side electrode, and to provide a method of manufacturing the same SOLUTION: The semiconductor device 1 has the side electrode 6 on the side surface of a resin 8 sealing an optical detecting semiconductor element 2 The side electrode 6 is formed by forming a metal thin film 32 having an electrode pattern with a predetermined pitch and then depositing a plated layer on the surface of the metal thin film 32 For example, the side surface of the resin 8 is coated with the resist 31, and the applied resist 31 is exposed, and the exposed resist 31 is developed to form the metal thin film 32 on the side surface Then, the resist 31 is removed and the plated film 6 is formed on the metal thin film 32 to provide the side electrode COPYRIGHT: (C)2010,JPO&INPIT

Proceedings ArticleDOI
TL;DR: In this article, the authors developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresist, which can provide several advantages to conventional chemically amplified resist(CAR) systems, including improved depth of focus (DOF) and reduced line edge roughness.
Abstract: The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography. The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist (CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative tones for 193 nm and e-beam lithography.

Patent
25 Jun 2010
TL;DR: In this article, a self-aligned spacer multiple patterning method was proposed for semiconductor device manufacturing, which involves alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns.
Abstract: Self-aligned spacer multiple patterning method are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.

Patent
16 Jun 2010
TL;DR: In this article, the authors provided a resist underlayer film having heat resistance that is used for a lithography process in the production of semiconductor devices, and a high refractive index film having transparency for an electronic device.
Abstract: There is provided a resist underlayer film having heat resistance that is used for a lithography process in the production of semiconductor devices, and a high refractive index film having transparency that is used for an electronic device. A polymer comprising a unit structure of Formula (1): wherein each of R1, R2, R3, and R5 may be a hydrogen atom, R4 may be phenyl group or naphthyl group. A resist underlayer film forming composition comprising the polymer, and a resist underlayer film formed from the composition. A high refractive index film forming composition comprising the polymer, and a high refractive index film formed from the composition.

Patent
11 Jan 2010
TL;DR: In this paper, a resist composition which can form a very fine resist pattern with excellent lithography properties, a new polymeric compound useful for the resist composition, and a compound useful as a monomer for the polymeric compounds is presented.
Abstract: A resist composition which can form a very fine resist pattern with excellent lithography properties, a new polymeric compound useful for the resist composition, and a compound useful as a monomer for the polymeric compound. The resist composition contains a polymeric compound containing a structural unit (a0) represented by general formula (a0) shown below. In the formula (a0), A is an anion represented by the general formula (1) or (2).