scispace - formally typeset
Search or ask a question

Showing papers by "Eric M. Gullikson published in 2001"


Proceedings ArticleDOI
20 Aug 2001
TL;DR: In this article, the peak reflectance of a typical Mo/Si multilayer can now be measured with a precision of 0.08% rms (relative) and the centroid wavelength with an accuracy of 0.007% r ms.
Abstract: In order to satisfy the metrology requirements of multilayer coatings for EUVL optics and masks, improvements have been made to the reflectometry beamline at the Advanced Light Source. The precision in determining multilayer peak reflectance and wavelength has been improved by reducing the measurement noise. The peak reflectance of a typical Mo/Si multilayer can now be measured with a precision of 0.08% rms (relative) and the centroid wavelength with a precision of 0.007% rms. It has now been possible to determine the contribution of scattered light to the spectral purity. Under the typical measurement conditions the scattered light accounts for about 1.3% of the incident beam. With an appropriate slit it is possible to reduce the scattered light to 0.25%. By correcting for the remaining scattered light, it is estimated that a reflectance accuracy of 0.1% (absolute) is obtained for a typical Mo/Si multilayer.

132 citations


Proceedings ArticleDOI
TL;DR: The Engineering Test Stand (ETS) as mentioned in this paper is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial tool development, and it is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel.
Abstract: The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k{sub 1} of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features.

52 citations


Proceedings ArticleDOI
20 Aug 2001
TL;DR: In this article, the second set of four imaging optics for an alpha-class EUVL system has been coated successfully, and the average wavelength among the four projection mirrors is 13.352 nm, with an optic-to-optic matching of 1(sigma) = 0.010 nm.
Abstract: One of the most critical tasks in the development of extreme ultraviolet lithography (EUVL) is the accurate deposition of reflective multilayer coatings for the mirrors comprising the EUVL tool. The second set (Set 2) of four imaging optics for an alpha-class EUVL system has been coated successfully. All four mirrors (M1, M2, M3, M4) were Mo/Si- coated during a single-deposition run with a production- scale DC-magnetron sputtering system. Ideally, the multilayer coatings should not degrade the residual wavefront error of the imaging system design. For the present EUVL camera, this requirement is equivalent to depositing multilayer coatings that would add a figure error of less than 0.11 nm rms. In addition, all mirrors should be matched in centroid wavelength, in order to insure maximum throughput of the EUVL tool. In order to meet these constraints, the multilayer deposition process needs to be controlled to atomic precision. EUV measurements of the coated mirrors determined that the added figure errors due to the multilayer coatings are 0.032 nm rms (M1), 0.037 nm rms (M2), 0.040 nm rms (M3) and 0.015 nm rms (M4), well within the aforementioned requirement of 0.11 nm rms. The average wavelength among the four projection mirrors is 13.352 nm, with an optic-to-optic matching of 1(sigma) =0.010 nm. This outstanding level of wavelength matching produces 99.3% of the throughput of an ideally matched four-mirror system. Peak reflectances are 63.8% (M1), 65.2% (M2), 63.8% (M3) and 66.7% (M4). The variation in reflectance values between the four optics is consistent with their high frequency substrate roughness. It is predicted that the multilayer coatings will not introduce any aberrations in the lithographic system performance, for both static and scanned images of 70 nm - dense features.

32 citations


Journal ArticleDOI
TL;DR: In this paper, the authors presented the fabrication and characterization of binary blazed gratings for soft X-ray and extreme ultraviolet (EUV) gratings, which are step approximations to the ideal sawtooth blazed grating profile and are fabricated directly into a layer of photoresist.

29 citations


Proceedings ArticleDOI
20 Dec 2001
TL;DR: The Engineering Test Stand (ETS) as mentioned in this paper is an EUV lithography tool designed to demonstrate full-field EUV imaging and provide data required to accelerate production-tool development.
Abstract: The Engineering Test Stand (ETS) is an EUV lithography tool designed to demonstrate full-field EUV imaging and provide data required to accelerate production-tool development. Early lithographic results and progress on continuing functional upgrades are presented and discussed. In the ETS a source of 13.4 nm radiation is provided by a laser plasma source in which a Nd:YAG laser beam is focused onto a xenon- cluster target. A condenser system, comprised of multilayer-coated and grazing incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. The resulting EUV illumination at the reticle and pupil has been measured and meets requirements for acquisition of first images. Tool setup experiments have been completed using a developmental projection system with (lambda) /14 wavefront error (WFE), while the assembly and alignment of the final projection system with (lambda) /24 WFE progresses in parallel. These experiments included identification of best focus at the central field point and characterization of imaging performance in static imaging mode. A small amount of astigmatism was observed and corrected in situ, as is routinely done in advanced optical lithographic tools. Pitch and roll corrections were made to achieve focus throughout the arc-shaped field of view. Scan parameters were identified by printing dense features with varying amounts of magnification and skew correction. Through-focus scanned imaging results, showing 100 nm isolated and dense features, will be presented. Phase 2 implementation goals for the ETS will also be discussed.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

26 citations


Journal ArticleDOI
TL;DR: It is reported what is believed to be the first demonstration that volume gratings diffract extreme-ultraviolet light (EUV) or soft x-rays into high orders approximately an order of magnitude more efficiently than predicted by classical thin-grating theory.
Abstract: We report what is believed to be the first demonstration that volume gratings diffract extreme-ultraviolet light (EUV) or soft x-rays into high orders approximately an order of magnitude more efficiently than predicted by classical thin-grating theory. At the 13-nm wavelength, copolymer grating structures with 200-nm period and aspect ratios of ∼10:1 achieved diffraction efficiencies of 11.2%, 15.3%, 11.5%, and 9.1% in the orders m of 2, 3, 4, and 5, respectively. In addition, the measured transmission spectra are consistent with electrodynamic calculations by coupled-wave theory. High-order diffraction can now be employed for substantially improved diffractive EUV and x-ray optics, e.g., highly resolving diffractive lenses and large-aperture condensers.

24 citations


Journal ArticleDOI
TL;DR: In this paper, a Ni/Ti-based multilayer with thin interleaved carbon layers (Ni/C/Ti/C multiilayer) has been designed and fabricated for the reflection coating of a grazing-incident-angle reflector in the water-window region for use in X-ray photoemission spectroscopy.
Abstract: A Ni/Ti-based multilayer with thin interleaved carbon layers (Ni/C/Ti/C multilayer) has been designed and fabricated for the reflection coating of a grazing-incident-angle reflector in the water-window region for use in X-ray photoemission spectroscopy. It was found to be a highly reflective mirror at wavelengths just above the Ti absorption edge (around 2.73 nm), as designed. A Ni/C/Ti/C multilayer with 0.2-nm-thick interleaved carbon layers was fabricated by magnetron sputtering. The measured reflectivity was 40% at a wavelength of 2.76 nm and an incident angle of 9.5°. This value is a little bit higher than that for a Ni/Ti multilayer with almost the same layer structure. Furthermore, the addition of thin carbon layers was found to significantly improve the heat resistance of a multilayer.

23 citations


Journal ArticleDOI
TL;DR: In this paper, at-wavelength interferometric characterization of a new 4x-reduction lithographic-quality extreme ultraviolet (EUV) optical system is described, which is referred to as the ETS Set-2 optic.
Abstract: At-wavelength interferometric characterization of a new 4x-reduction lithographic-quality extreme ultraviolet (EUV) optical system is described. This state-of-the-art projection optic was fabricated for installation in the EUV lithography Engineering Test Stand (ETS) and is referred to as the ETS Set-2 optic. EUV characterization of the Set-2 optic is performed using the EUV phase-shifting point diffraction interferometer (PS/PDI) installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. This is the same interferometer previously used for the at-wavelength characterization and alignment of the ETS Set-1 optic. In addition to the PS/PDI-based full-field wavefront characterization, we also present wavefront measurements performed with lateral shearing interferometry, the chromatic dependence of the wavefront error, and the system-level pupil-dependent spectral-bandpass characteristics of the optic; the latter two properties are only measurable using at-wavelength interferometry.

21 citations



Journal ArticleDOI
31 Oct 2001
TL;DR: In this paper, a simple TEY x-ray standing-wave method enables simultaneous spectral measurements of the xray standing wave and Bragg reflection using the reflectometer installed in the beamline 6.3.2 at the Advanced Light Source (ALS).
Abstract: Summary form only given. Total-electron-yield (TEY) x-ray standing-wave spectra of multilayer x-ray mirrors by monitoring sample photocurrent are presented to obtain information on their interface structure. This simple TEY x-ray standing-wave method enables simultaneous spectral measurements of the x-ray standing-wave and Bragg reflection. Optical measurements of Bragg reflection and x-ray standing-waves were carried out by using the reflectometer installed in the beamline 6.3.2 at the Advanced Light Source (ALS). Results are reported for Mo/SiC/Si multilayer mirrors.

8 citations


Journal ArticleDOI
TL;DR: In this paper, two new sets of projection and condenser optics for the prototype 10× reduction extremeultraviolet lithography (EUVL) system were coated with Mo/Si multilayers.
Abstract: Two new sets of projection and condenser optics for our prototype 10× reduction extremeultraviolet lithography (EUVL) system were coated with Mo/Si multilayers. The coating thickness was graded across the optics by using shadow masks to ensure maximum throughput at all incidence angles in the camera. The overall deviation of the (normalized) wavelength response across the clear aperture of each mirror is negligible, i.e., below 0.005% root mean square for each optic. However, the wavelength mismatch between two optics coated in different runs is up to 0.07 nm. Nevertheless, this is still within the allowed tolerances, and the predicted optical throughput loss in the camera due to such wavelength mismatch is about 4%. EUV reflectances of 63% and 65% were measured around 13.40 nm for the two secondary optics, which is in good agreement with the expected reflectance based on the substrate finish as measured with atomic force microscopy.

Journal ArticleDOI
TL;DR: In this article, the CoCr/C multilayer mirrors with a comparatively high reflectivity at around normal incidence and have fabricated them by magnetron sputtering were used for X-ray photoemission spectroscopy for inner-shell excitation using a Schwarzschild objective.
Abstract: The development of highly-reflective multilayer mirrors for use in the 6-nm region is desired for X-ray photoemission spectroscopy for inner-shell excitation using a Schwarzschild objective. For this application, reflectivity is the most critical parameter determining the performance of multilayer mirrors, because the reflectivities of multilayers in the 6-nm region are generally very low. We have designed CoCr/C multilayer mirrors with a comparatively high reflectivity at around normal incidence and have fabricated them by magnetron sputtering. The measured peak reflectivity is about 11.5% at a wavelength of around 6 nm and an incident angle of 88°. Thermal annealing was found to markedly improve the reflectivity, and a high value of 13% was obtained by annealing at 400 in an Ar atmosphere for 1 h.

Proceedings ArticleDOI
20 Aug 2001
TL;DR: In this article, the optimal center wavelength for maximum integrated reflectivity is around 14.4 nm, in agreement with the previous work by R. Stuik et al., which showed that the model is a good approximation for throughput calculations.
Abstract: In the calculation of wafer throughput for EUV production tools, the multilayer mirrors are often approximated as a pass-band with a flat response equal to the highest reflectivity of the mirrors and a bandwidth equal to the FWHM of the reflectivity curve. However, the actual reflectivity response of the mirrors is an extended curve which peaks at wavelengths typically between 11-15 nm. With a broadband source, photons with wavelength outside the FWHM of the mirror are also reflected, contributing to the throughput of the multimirror optical system. We present calculations to compare this simple model with the actual reflectivity curve for Mo/Si mirrors, The result shows that the model is a good approximation for throughput calculations. In this paper, the optimal parameter values and center wavelengths for maximum throughput were also calculated for Mo/Si mirrors at different incidence angles and (sigma) values. The simulation results confirm that for near-normal incidence, the optimal center wavelength for maximum integrated reflectivity is around 14.4 nm, in agreement with the previous work by R. Stuik et. al. As the off-normal incidence angle ((phi) ) increases, the integrated reflectivity is reduced faster at longer wavelengths. The maximum integrated reflectivity centered at 13.4 nm is closer to that at the optimal center wavelengths for larger value of (phi) . The effect is more distinct for smaller (sigma) . For (phi) equal to 15 degree(s) (NA ~ 0.25) and peak reflectivity of 70%, the maximum integrated reflectivity of a 9-mirror optical system at 13.4 nm is only 2% less than that at 14.4 nm. If a 2-nm thick SiO2 capping layer with roughness of 0.2 nm is included in the simulation, the optimal wavelength is unaffected and only the integrated reflectivity is reduced.© (2001) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
06 Sep 2001
TL;DR: In this paper, a method for compensating for flare-induced critical dimensions (CD) changes in photolithography is proposed, based on the recognition that the intrinsic level of flare for an EUV camera (the flare level for an isolated sub-resolution opaque dot in a bright field mas) is essentially constant over the imaged field.
Abstract: A method for compensating for flare-induced critical dimensions (CD) changes in photolithography. Changes in the flare level results in undesirable CD changes. The method when used in extreme ultraviolet (EUV) lithography essentially eliminates the unwanted CD changes. The method is based on the recognition that the intrinsic level of flare for an EUV camera (the flare level for an isolated sub-resolution opaque dot in a bright field mas) is essentially constant over the imaged field. The method involves calculating the flare and its variation over the area of a patterned mask that will be imaged and then using mask biasing to largely eliminate the CD variations that the flare and its variations would otherwise cause. This method would be difficult to apply to optical or DUV lithography since the intrinsic flare for those lithographies is not constant over the image field.

Journal Article
TL;DR: Chang, Chang, Chang; Anderson, Anderson, Erik H; Naulleau, Patrick P; Gullikson, Eric; Goldberg, Kenneth A; Attwood, David T. as mentioned in this paper
Abstract: Author(s): Chang, Chang; Anderson, Erik H.; Naulleau, Patrick P.; Gullikson, Eric; Goldberg, Kenneth A.; Attwood, David T.

Proceedings ArticleDOI
22 Jan 2001
TL;DR: In this paper, the effect of a Gaussian bump in proximity to both 70 nm and 35 nm 1:3 L/S printed with a 0.1 and 0.25 numerical aperture system, respectively, was studied.
Abstract: In Extreme Ultraviolet (EUV) lithography, sub-resolution reticle substrate defects which are overcoated during the multilayer coating process could introduce proximity phase errors. A strategy for mitigating this problem is to coat the substrate with a smoothing layer prior to ML deposition. A spherical defect will be reduced to a low aspect Gaussian bump. In order to understand the smoothing requirements necessary to render a defect non-critical, we have utilized a simplified 3-D lithographic modeling approach to study the effect of a Gaussian bump in proximity to both 70 nm 1:3 L/S and 35 nm 1:3 L/S printed with a 0.1 and 0.25 numerical aperture system, respectively. The results quantify that the smoothing approach can be successfully used to render a defect non-critical by two means: 1) reducing the defect volume or 2) by reducing the slope of the Gaussian bump.

Journal ArticleDOI
TL;DR: Results show that PFY-absorption measurements for RPR x-ray absorption spectroscopy can provide the information about the electronic structures and the radiative-decay process in inner-shell excitation.
Abstract: Partial-fluorescence-yield (PFY) x-ray absorption measurements, using the optimized window widths of position sensitive detectors in wave-length dispersive x-ray spectrometers, have been applied for radiative-process-resolved (RPR) x-ray absorption spectroscopy. We have measured PFY-absorption spectra of graphite and diamond at the C K threshold and of h-BN and c-BN at the B K threshold. Resonant elastic x-ray scattering was observed in graphite and h-BN on their PFY-absorption spectra, and excitonic x-ray scattering was observed in diamond and c-BN. These results show that PFY-absorption measurements for RPR x-ray absorption spectroscopy can provide the information about the electronic structures and the radiative-decay process in inner-shell excitation.