scispace - formally typeset
Open AccessJournal ArticleDOI

Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2

TLDR
In this article, the thermal atomic layer deposition (ALD) of copper oxide films from the nonfluorinated yet liquid precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate, [( n Bu 3 P) 2 Cu(acac)], and wet O 2 on Ta, TaN, Ru, and SiO 2 substrates at temperatures of < 160°C is reported.
Abstract
The thermal atomic layer deposition (ALD) of copper oxide films from the nonfluorinated yet liquid precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate, [( n Bu 3 P) 2 Cu(acac)], and wet O 2 on Ta, TaN, Ru, and SiO 2 substrates at temperatures of < 160°C is reported. Typical temperature-independent growth was observed at least up to 125°C with a growth-per-cycle of ∼0. A for the metallic substrates and an ALD window extending down to 100°C for Ru. On SiO 2 and TaN, the ALD window was observed between 110 and 125°C, with saturated growth shown on TaN still at 135°C. Precursor self-decomposition in a chemical vapor deposition mode led to bimodal growth on Ta, resulting in the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to ∼130°C and neither on Ru or SiO 2 for any processing temperature. The degree of nitridation of the tantalum nitride underlayers considerably influenced the film growth. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits.

read more

Content maybe subject to copyright    Report

T. WAECHTLER, ET AL., J. ELECTROCHEM. SOC., VOL. 156, NO. 6, H453-H459 (2009) POSTPRINT OF THE ORIGINAL ARTICLE 1
Copper Oxide Films Grown by Atomic Layer
Deposition from Bis(tri-n-butylphosphane)-
copper(I)acetylacetonate on Ta, TaN, Ru, and SiO
2
Thomas Waechtler
k
, Steffen Oswald
, Nina Roth
, Alexander Jakob
, Heinrich Lang
, Ramona Ecke
,
Stefan E. Schulz
§
, Thomas Gessner
§∗∗
, Anastasia Moskvinova
, Steffen Schulze
, and Michael Hietschold
Center for Mircotechnologies (ZfM), Chemnitz University of Technology, D-09107 Chemnitz, Germany
Leibniz Institute for Solid State and Materials Research (IFW), D-01069 Dresden, Germany
Department of Inorganic Chemistry, Institute of Chemistry,
Chemnitz University of Technology, D-09107 Chemnitz, Germany
§
Fraunhofer Research Institution for Electronic Nano Systems (ENAS), D-09126 Chemnitz, Germany
Solid Surfaces Analysis and Electron Microscopy Group, Institute of Physics,
Chemnitz University of Technology, D-09107 Chemnitz, Germany
k
E-mail: thomas.waechtler@zfm.tu-chemnitz.de
∗∗
Electrochemical Society Active Member.
c
The Electrochemical Society, Inc. 2009. All rights reserved. Except as provided under U.S. copyright law, this work may
not be reproduced, resold, distributed, or modified without the express permission of The Electrochemical Society (ECS).
The archival version of this work was published in Journal of The Electrochemical Society, Vol. 156, No. 6, pp. H453–H459
(2009), ISSN 0013-4651, Digital Object Identifier (DOI): 10.1149/1.3110842 (http://dx.doi.org/10.1149/1.3110842).
Abstract The thermal atomic layer deposition (ALD)
of copper oxide films from the non-fluorinated yet liq-
uid precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate,
[(
n
Bu
3
P)
2
Cu(acac)], and wet O
2
on Ta, TaN, Ru and SiO
2
substrates at temperatures of < 160
C is reported. Typical
temperature-independent growth was observed at least up to
125
C with a growth-per-cycle of 0.1 Å for the metallic sub-
strates and an ALD window extending down to 100
C for Ru.
On SiO
2
and TaN the ALD window was observed between 110
and 125
C, with saturated growth shown on TaN still at 135
C.
Precursor self-decomposition in a chemical vapor deposition
mode led to bimodal growth on Ta, resulting in the parallel
formation of continuous films and isolated clusters. This effect
was not observed on TaN up to about 130
C and neither on Ru or
SiO
2
for any processing temperature. The degree of nitridation
of the tantalum nitride underlayers considerably influenced the
film growth. With excellent adhesion of the ALD films on all
substrates studied, the results are a promising basis for Cu
seed layer ALD applicable to electrochemical Cu metallization
in interconnects of ultralarge-scale integrated circuits.
I. INTRODUCTION
W
HILE copper is now widely accepted as conductor
material for interconnect systems of ultralarge-scale
integrated circuitry (ULSI) [1], there is an increasing need
for extremely thin, continuous Cu films that are conformal
in demanding nanoscale geometries. The conventional dama-
scene technology applied for creating the metallization system
of ULSI devices requires Cu seed layers as starting point for
electrochemical Cu filling (ECD) of vias and conductor lines
embedded in patterned SiO
2
or low-k / ultra low-k material [1],
[2]. So far sputtering is the method of choice for depositing
such seed layers, but with reduced line width and increasing
aspect ratio of the interconnect features this method tends to
fail due to its inherent non-conformal deposition characteristic
as schematically shown in Fig. 1.
Gas-phase chemical deposition methods, such as chemical
vapor deposition (CVD) and atomic layer deposition (ALD),
are being discussed as alternative methods in this respect [3]–
[6]. ALD, in particular, is a viable technique to obtain contin-

T. WAECHTLER, ET AL., J. ELECTROCHEM. SOC., VOL. 156, NO. 6, H453-H459 (2009) POSTPRINT OF THE ORIGINAL ARTICLE 2
Cu
Dielectric cap
SiO
2
or ULK
Barrier
PVD Seed
ECD
Cu
Void
Lower
interconnect
level
Via level
Upper
interconnect
level
Fig. 1. Schematic of Cu ULSI dual damascene metallization: Especially in
high-aspect-ratio features, such as vias, the sputtered PVD seed layer is prone
to nonconformalities (left), which may result in the formation of voids during
the subsequent electrochemical Cu deposition (right).
uous, ultrathin films with very good step coverage in extreme
geometries and on three-dimensional nanoscale objects due to
the self-limiting film growth behavior [7], [8].
As ALD relies on the reaction of a chemisorbed monolayer
of a metal precursor with a co-reactant to form the desired
material, a suitable precursor combination must be found.
In contrast to ALD processes of noble metals, where also
oxidizing agents can be used to obtain metal films [9]–[15],
metallic copper films are only accessible by ALD directly
when the Cu precursor is reduced. This can be accomplished
by plasma-enhanced ALD (PEALD) with atomic hydrogen as
the reducing agent [16]–[18]. However, these approaches dete-
riorate ALD’s ability to conformally coat complex geometries,
because especially hydrogen plasmas tend to deplete down in
nanoscale trenches and shadowed areas. As a consequence,
this leads to reduced step coverage and non-conformal film
growth, compromising a major advantage inherent to ALD.
From this point of view, thermal ALD is generally favored.
Pure thermal approaches to form metallic Cu have been
proposed with [CuCl] and H
2
as the precursors, requiring el-
evated processing temperatures between 360 and 425
C [19]–
[21]. These processes yielded isolated Cu clusters only; due to
the strong agglomeration tendency Cu exhibits especially on
substrates like silica, refractory metals or refractory metal ni-
trides [22]–[27]. Therefore such processes are problematic for
applications where ultra-thin, continuous films are required. In
addition, the use of solid precursors with high melting points
such as metal halides further complicates the situation as the
precursors must be sublimed in situ, making it cumbersome
to guarantee a constant and reproducible precursor flow rate
which is indispensable for reproducible processes applicable
to mass production.
Using metal-organic precursors, in contrast, lower sublima-
tion temperature, or in many cases precursor liquid delivery or
bubbling as well as reduced processing temperatures are pos-
sible. In this respect, Cu(II) β-diketonates have been studied.
With copper(II) hexafluoroacetylacetonate, [Cu(hfac)
2
], ALD
was reported from 230
C [28], [29]. Although fluorinated
precursors exhibit the advantage of greater volatility compared
to their non-fluorinated counterparts, adhesion problems of
the deposited films have been observed as a result from
fluorine-containing residues accumulating at the interface to
the substrate in Cu CVD processes from [(TMVS)Cu(hfac)]
(CupraSelect) (TMVS = trimethylvinylsilane) [30]–[32]. As
an alternative, ALD was studied using the non-fluorinated
copper(II) tetramethylheptanedionate, [Cu(thd)
2
], and direct
reduction to form Cu. However, these processes turned out
to be highly substrate-dependent, as film growth relied on
catalytic underlayers such as Pt or Pd [33], [34].
Apart from Cu(II) precursors, Cu(I) amidinates have re-
cently been reported to react with hydrogen already at tem-
peratures 200
C to give copper films by ALD [35]–[37].
However, most of these precursors are solids under standard
conditions. Furthermore, smooth and continuous ALD Cu
films are only obtained on metallic nucleation layers such as
Co or Ru, while discontinuous islands grow on materials like
WN
x
[38], [39]. With respect to a practical application, such
requirements would result in rather complex processes.
To circumvent theses issues associated with direct precur-
sor reduction for growing metallic Cu films, alternatives are
being discussed with respect to simple hence cost-effective
processes. CVD and ALD approaches include the formation
of films of copper compounds such as nitrides [40]–[42] or
oxides [28], [29], [43]–[47] which are subsequently reduced.
In this respect, we here investigate the ALD of oxidic copper
films from bis(tri-n-butylphosphane)copper(I)acetylacetonate,
[(
n
Bu
3
P)
2
Cu(acac)] (
n
Bu = n-butyl) for the first time. This
liquid, non-fluorinated Cu(I) β-diketonate precursor is ac-
cessible by a straight-forward synthesis methodology from
inexpensive educts. Hence it also appears attractive for later
large-scale industrial application at reasonable cost. In our
current study, we concentrate on pure thermal ALD processes
to eliminate the drawbacks of PEALD associated with reduced
film conformality in high aspect ratio features. For similar
reasons and also in order to avoid extensive oxidation of
substrate materials as well as the use of costly equipment,
ozone-based oxidation processes are not investigated. Rather,
a mixture of water vapor and oxygen ("wet oxygen") is used
as oxidizing agent during ALD. With the target application
of seed layers for ULSI damascene metallization in mind,
ALD was carried out on tantalum as well as tantalum nitride
diffusion barrier layers. Ruthenium, as a candidate for Cu
nucleation layers [12], [48], [49] and potential component of
advanced diffusion barrier systems [50]–[55], was also used
as substrate. For comparison to the conductive substrates,
depositions were further carried out on SiO
2
.
II. EXPERIMENTAL
A. Precursor Considerations
Bis(tri-n-butylphosphane)copper(I)acetylacetonate,
[(
n
Bu
3
P)
2
Cu(acac)] (Fig. 2) was synthesized under inert
gas atmosphere by published methods [56], [57].
Under standard conditions, the precursor is a pale yellow
liquid and can be stored in inert gas atmosphere for months
without decomposition. Vapor pressure measurements show
that the precursor has a vapor pressure of 0.02 mbar at 98
C
(Fig. 3), being comparable to [Cu(acac)
2
] but considerably
lower than fluorinated substances such as [(TMVS)Cu(hfac)]
or [Cu(hfac)
2
] [58]–[60]. However, for ALD a high vapor pres-
sure is not as critical as for CVD where high deposition rates
are desirable. Since ALD relies on forming a chemisorbed
monolayer of precursor molecules on a substrate, also less-
volatile liquids can be applied to ALD.

T. WAECHTLER, ET AL., J. ELECTROCHEM. SOC., VOL. 156, NO. 6, H453-H459 (2009) POSTPRINT OF THE ORIGINAL ARTICLE 3
Fig. 2. Structure of [(
n
Bu
3
P)
2
Cu(acac)] (molecular weight: 567.3 g/mol).
0.001
0.01
0.1
1
10
2.0 2.5 3.0 3.5 4.0
1000/T (1/K)
Vapor Pressure (mbar)
(TMVS)Cu(hfac)
Cu(hfac)
Cu(acac)
( Bu P) Cu(acac)
126.9
60.2
12.6
Temperature (°C)
n
3 2
2
2
Fig. 3. Vapor pressure data for [(
n
Bu
3
P)
2
Cu(acac)] compared to other
commonly used Cu CVD/ALD precursors [58]–[60].
In a previous report [57], Cu CVD by thermal disproportion-
ation was shown at 220
C with this precursor. As differential
scanning calorimetric studies (DSC) of the molecule showed
major decomposition peaks only at 237 and 255
C [57], we
chose the precursor as a viable candidate for low-temperature
ALD studies.
B. ALD Experiments
ALD experiments were carried out in a cold-wall, 4 in.
single-wafer vertical flow reactor equipped with a load-lock
chamber [61], [62]. The process control system of the orig-
inal CVD reactor had been modified to enable automated,
cyclic processing [63]. The deposition chamber was evacuated
with a combination of a turbomolecular and a roots pump,
both backed with rotary pumps, to achieve a base pressure
of < 3 × 10
6
mbar. The ALD processes themselves were
carried out at pressures between 0.8 and 1.5 mbar. During
deposition, the chamber walls were kept at 85
C to avoid
precursor condensation. Each ALD cycle consisted of the steps
summarized in Table I. Due to the large reactor volume of
nearly 13 liters, relatively long pulses were necessary both to
reach saturation of the precursor chemisorption as well as to
guarantee sufficient purging of the chamber.
TABLE I
STEPS OF AN ALD CYCLE.
Step Description Pulse length (s)
1 Precursor exposure 3–5
2 Argon purging 5
3 Oxidation (oxygen + water vapor) 7–11
4 Argon purging 5
The copper precursor was stored at room temperature under
Ar atmosphere in a stainless steel stock bottle. During process-
ing it was evaporated by a Bronkhorst liquid delivery system
(LDS) with Ar as carrier gas (flow rate 700 sccm). This
approach avoids exposing the precursor stock to heat and thus
leads to a longer shelf life of the substance. After evaporating
between 85 and 100
C at a flow rate of 10 to 20 mg/min and
mixing with carrier gas, the precursor vapor was transported to
the deposition chamber via heated stainless steel tubes. Water
vapor was generated by a bubbler, also using Ar as carrier gas,
at a temperature of 45 to 50
C. With an Ar flow of 200 sccm,
approximately 18 to 20 mg/min H
2
O were evaporated. In
parallel to the water vapor, 90 sccm of oxygen were flown
during the oxidation pulses. The purging steps were realized by
supplying 145 sccm of Ar. The 4 in. wafers that were used as
substrates were heated resistively by a graphite heater during
the ALD. The processing temperature ranged from 100 to
155
C. As starting layers, 40 nm of TaN or combinations
with Ta (Ta/TaN, i. e., 20 nm Ta on top of 20 nm TaN), the
preferred diffusion barrier system for ULSI Cu interconnects,
were sputtered onto the Si prior to the ALD processes. The
sputtering was realized in a Balzers CLC 9000 equipment. The
Ta/TaN stacks were formed in continuous processes by turning
off the N
2
flow when the desired TaN thickness was reached.
Because of this, a continuous transition was obtained from
TaN to Ta, and in some cases the Ta was also unintentionally
nitrided. Si substrates coated with 100 nm Ru on top of a
10 nm Ti adhesion layer, both prepared by evaporation, were
purchased from Advantiv Technologies, Inc., Fremont, CA
(USA) and used as received. For depositions on silica, 4 in. Si
wafers were thermally oxidized in a Centrotherm tube furnace
prior to the ALD processes. All substrates were exposed to air
before the ALD and were not pretreated in situ.
C. Sample Characterization
The surface structure and morphology of the ALD films
was studied by field-emission scanning electron microscopy
(SEM) on a LEO 982 Digital Scanning Microscope as well
as atomic force microscopy (AFM) with a Digital Instru-
ments NanoScope IIIa in tapping mode using standard silicon
tips. Spectroscopic ellipsometry with a SENTECH SE 850
ellipsometer was applied to determine the thickness of the
ALD films. Selected samples were studied in more detail
by cross-sectional transmission electron microscopy (TEM)
with respect to the film structure as well as to verify the
thickness values obtained from ellipsometry. A Philips CM20
TEM equipped with a field-emission gun was used for these
investigations. Additionally, electron energy loss spectroscopy
(EELS) and electron diffraction analyses were carried out

T. WAECHTLER, ET AL., J. ELECTROCHEM. SOC., VOL. 156, NO. 6, H453-H459 (2009) POSTPRINT OF THE ORIGINAL ARTICLE 4
Fig. 4. (a) Top-view SEM image of a Cu/Cu
x
O ALD film on Ta grown at
135
C. The film was partially etched to expose the Ta surface. The bimodal
growth characteristic has led to cluster formation in parallel to the growth of a
continuous film. (b) Cross-sectional TEM image of a perfect ALD film grown
on TaN at 125
C. No clusters are visible. By spectroscopic ellipsometry, a
film thickness of 3.6 nm was determined.
on this TEM. Chemical analysis of the samples was fur-
ther realized by X-ray photoelectron spectroscopy (XPS)
on a PHI 5600 (Physical Electronics) with Al K
α
X-rays
(1486.7 eV) used for excitation. The adhesion of the films
was examined with the tape test using Tesa 4129 tape with
an adhesion force of 8 N per 25 mm.
III. RESULTS AND DISCUSSION
For the ALD experiments carried out on Ta a bimodal
growth characteristic was experienced, leading to the parallel
formation of continuous films and separated islands. In an
earlier report [64], it was already shown that when using
wet oxygen rather than only O
2
or water vapor during the
ALD much better results can be obtained, which is due to
the enhanced oxidizing activity of the H
2
O/O
2
combination.
Fig. 4 a shows a top-view SEM image of a Ta sample where
the ALD film has been partially etched away. Between the
clusters, a continuous film can be seen. On TaN, in contrast,
continuous films without any larger aggregates were obtained
up to 125
C (Fig. 4 b).
Fig. 5. (a) Cross-sectional TEM image of an ALD film grown on TaN at
135
C. Besides a continuous film of 5 nm thickness (ellipsometry: 4.9 nm),
clusters of a size between 15 and 20 nm are visible. (b) EELS map for Cu of
the same sample.
For ALD films grown at 135
C, very small clusters in
the range of 15 to 20 nm were detected by cross-sectional
TEM imaging (Fig. 5). With increasing temperature, however,
larger clusters started to appear on TaN, too. Together with
an increase in the growth per cycle (GPC), this points to
self-decomposition of the precursor setting in. In fact, it was
shown theoretically by Machado et al. [65], [66] that especially
Ta is very reactive toward metal-organic precursors, causing
them to decompose and even form fragments of the ligands.
As that study further points out, this effect is much less
pronounced on TaN surfaces. Apparently this results in a
much better controlled ALD growth on TaN than on metallic
Ta in the current experiments. This is also expressed by the
self-saturated growth regime obtained on TaN at 135
C as
reported before [64], although a slight increase in the GPC
due to beginning CVD effects is already experienced at this
temperature. The respective graph is reprinted here in Fig. 6.
The growth characteristic for this process shown in Fig. 7
displays a linear behavior with increasing number of ALD
cycles in the lower range, while for more than about 200
cycles, the data suggest a steeper increase. We believe this to
be due to a change in the surface chemistry once the substrate
gets completely covered with the growing film.
Fig. 8 displays XPS data of the Cu 2p3/2 core level and
the Cu LMM Auger transition for ALD films on TaN. The
samples were fabricated at different processing temperatures
both on stoichiometric and Ta-rich TaN. XPS analyses carried
out approximately 40 days after deposition as well as 100

T. WAECHTLER, ET AL., J. ELECTROCHEM. SOC., VOL. 156, NO. 6, H453-H459 (2009) POSTPRINT OF THE ORIGINAL ARTICLE 5
115 °C
125 °C
135 °C
145 °C
155 °C
930935940945
0
0.2
0.4
0.6
0.8
1
Binding Energy (eV)
Normalized Intensity
Cu 2p3/2
182022242628303234
0
0.2
0.4
0.6
0.8
1
Binding Energy (eV)
Normalized Intensity
Ta 4f
Cu or
Cu
2
O
metallic Ta
bound Ta
(TaN)
(a)
(c)
560565570575580
0
0.2
0.4
0.6
0.8
1
Binding Energy (eV)
Normalized Intensity
Cu LMM
CuO
Cu(OH)
2
CuO or
Cu(OH)
2
(b)
Cu
Cu
2
O
CuO
Cu(OH)
2
ALD process temperature:
Fig. 8. XPS core level spectra of (a) Cu 2p3/2 and (c) Ta 4f as well as binding energy data obtained for the Cu LMM Auger transition (b) from ALD
samples on TaN. The processes of 400 cycles were carried out with precursor and purging steps of 5 s and 11 s oxidation pulses at 115, 125, 135, 145, and
155
C.
Fig. 6. GPC as a function of precursor pulse length for ALD on TaN at
135
C. Reprinted with permission from Ref. [64].
Fig. 7. Evolution of film thickness for ALD on TaN at 135
C with respect
to the number of cycles, based on ALD runs according to Table I with 5 s
precursor and 11 s oxidation pulses, separated by 5 s purging pulses. (The
dotted lines are drawn to guide the eye.)
days later reveal that there is a general tendency of Cu
2
O
formation, which is in accordance with other reports where
preferably Cu(I) oxide was produced from [Cu(hfac)
2
] [45],
[67] or [Cu(acac)
2
] [46] and H
2
O or H
2
O
2
. This is shown by
the Cu 2p3/2 peak (Fig. 8 a) at about 932.4 eV [68]. However,
because this signal could also be assigned to metallic Cu [68],
[69], one has to take into account the Auger spectra for the
Cu LMM transition (Fig. 8 b) as well. There Cu would be
expected at a binding energy of 567.7 to 567.9 eV [69], [70]
while Cu
2
O should give a signal at 570 eV [68], [69]. [We
note that, in Refs. [68]–[70], the kinetic energy of the Auger
electrons is given, while in the current study we report the
binding energy, i. e., the difference of the X-ray excitation
energy and the kinetic energy of the Auger electrons.] Since no
signal to be assigned to Cu(0) is present in the Auger spectra,
we can conclude the presence of Cu(I) oxide. This is further
supported by electron diffraction studies (Fig. 9). Apart from
substrate signals originating from TaN, the diffraction reflexes
obtained are best fitted to Cu
2
O rather than CuO or Cu.
However, the XPS core level spectra in Fig. 8 a also display
signals at higher binding energies. In this respect, CuO would
be expected at 933.2 eV [68] together with a satellite between
940 and 945 eV [68] and an Auger signal for the Cu LMM
transition at 568.5 eV [69]. Apart from CuO, the Cu 2p satellite
is also typical for Cu(OH)
2
together with a major signal at
935.1 eV [69] and an Auger peak at 570.4 eV (i. e., at a similar
position as for Cu
2
O) [69]. Because the Cu 2p3/2 core level
signal as well as an Auger peak for CuO are not developed,
we can further conclude that Cu(OH)
2
is present in addition to
Cu
2
O. However, it is known from earlier studies by Baklanov
et al. [71] that Cu samples exposed to air display the formation
of a Cu hydroxide surface contamination. As we had also

Citations
More filters
Journal ArticleDOI

Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends

TL;DR: Puurunen et al. as discussed by the authors summarized the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD.

Damascene copper electroplating for chip interconnections

TL;DR: Damascene copper electroplating for on-chip interconnections, a process that was conceived and developed in the early 1990s, makes it possible to fill submicron trenches and vias with copper without creating a void or a seam and has thus proven superior to other technologies of copper deposition as discussed by the authors.
Journal ArticleDOI

Mixed Copper States in Anodized Cu Electrocatalyst for Stable and Selective Ethylene Production from CO2 Reduction.

TL;DR: Results show the selectivity of ethylene to methane in O- Cu combination catalysts is influenced by the electrochemical reduction environment related to the mixed valences, which will provide new strategies to improve durability of O-Cu combination catalyststs for C-C coupling products from electrochemical CO2 conversion.
Journal ArticleDOI

Copper oxide as inorganic hole transport material for lead halide perovskite based solar cells

TL;DR: In this article, the key characteristics of CH3NH3PbI3 based solar cells with various hole transport materials (HTM) layers including spiro-OMETAD, NiO, CuI, CuSCN, and Cu2O.
Journal ArticleDOI

Atomic and molecular layer deposition: off the beaten track

TL;DR: A review of the recent developments of ALD and MLD of materials that are gaining increasing attention on various substrates, with particular emphasis on high-surface-area substrates and a critical review ofthe effects of the process conditions, namely, temperature, pressure, and time on ALD growth.
References
More filters
Journal ArticleDOI

Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process

TL;DR: In this paper, the surface chemistry of the trimethylaluminum/water ALD process is reviewed, with an aim to combine the information obtained in different types of investigations, such as growth experiments on flat substrates and reaction chemistry investigation on high-surface-area materials.
Journal ArticleDOI

Electronic structure of Cu2O and CuO

TL;DR: In this article, the electronic structure of copper oxides has been investigated by photoelectron (x-ray photoemission, ultraviolet photo-emission), Auger electron, and bremsstrahlung isochromat spectroscopies.
Journal ArticleDOI

Damascene Copper electroplating for chip interconnections

TL;DR: The challenges of filling trenches and vias with Cu without creating a void or seam are reviewed, and the discovery that electrodeposition can be engineered to give filling performance significantly better than that achievable with conformal step coverage is found.

Damascene copper electroplating for chip interconnections

TL;DR: Damascene copper electroplating for on-chip interconnections, a process that was conceived and developed in the early 1990s, makes it possible to fill submicron trenches and vias with copper without creating a void or a seam and has thus proven superior to other technologies of copper deposition as discussed by the authors.
Journal ArticleDOI

Atomic Layer Deposition Chemistry: Recent Developments and Future Challenges†

TL;DR: The self-limiting growth mechanism characteristic to atomic layer deposition (ALD) facilitates the control of film thickness at the atomic level and allows deposition on large and complex surfaces, which makes ALD a very promising technique for future integrated circuits.
Related Papers (5)
Frequently Asked Questions (23)
Q1. What is the main reason for the use of ALD?

Since ALD relies on forming a chemisorbed monolayer of precursor molecules on a substrate, also lessvolatile liquids can be applied to ALD. 

The thermal atomic layer deposition ( ALD ) of copper oxide films from the non-fluorinated yet liquid precursor bis ( tri-n-butylphosphane ) copper ( I ) acetylacetonate, [ ( Bu3P ) 2Cu ( acac ) ], and wet O2 on Ta, TaN, Ru and SiO2 substrates at temperatures of < 160◦C is reported. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits. 

This is further supported by electron diffraction studies ( Fig. 9 ). Because the Cu 2p3/2 core level signal as well as an Auger peak for CuO are not developed, the authors can further conclude that Cu ( OH ) 2 is present in addition to Cu2O. The respective spectra displayed in Fig. 10 suggest that Cu ( OH ) 2 is only present toward the sample surface, while in the bulk of the ALD films Cu2O dominates as the Cu ( OH ) 2 peaks considerably decrease with increasing XPS take-off angle. The investigations further reveal the influence of TaN composition and processing temperature on the ALD film composition: 

Temperatureindependent growth regimes, essential for ALD, were found at least up to 120◦C with GPC values of ∼ 0.1 Å for the metallic substrates. 

higher process temperature also led to the formation of clusters of ∼ 20 nm on TaN and an increase of the GPC with temperature, being a clear sign of beginning CVD modes and thermal decomposition of the Cu precursor. 

After evaporating between 85 and 100◦C at a flow rate of 10 to 20 mg/min and mixing with carrier gas, the precursor vapor was transported to the deposition chamber via heated stainless steel tubes. 

As differential scanning calorimetric studies (DSC) of the molecule showed major decomposition peaks only at 237 and 255◦C [57], the authors chose the precursor as a viable candidate for low-temperature ALD studies. 

Due to apparent precursor self-decomposition on Ta, a bimodalgrowth was experienced, leading to the parallel formation of continuous films and isolated clusters. 

As starting layers, 40 nm of TaN or combinations with Ta (Ta/TaN, i. e., 20 nm Ta on top of 20 nm TaN), the preferred diffusion barrier system for ULSI Cu interconnects, were sputtered onto the Si prior to the ALD processes. 

the stronger CVD effects in this case are due to enhanced precursor self-decomposition caused by the metallic Ta as theoretically predicted by Machado et al. [65], [66]. 

This may be due to the ability of catalytic dissociation of O2 on Ru [72] toward atomic oxygen, so that Cu2O formed during ALD could undergo an additional oxidative step, either during the ALD itself or afterward as a result of air exposure. 

For a later application in ULSI metallization systems, this could open up an opportunity to reduce the liner thickness by avoiding the Ta layer between the TaN diffusion barrier and Cu conductor, and appears encouraging also with respect to novel liner materials, such as ruthenium. 

While for the deposition on stoichiometric TaNup to a temperature of 135◦C only small or no temperature dependence (Fig. 11) and thus saturated growth are observed (Fig. 6), there is a considerable increase in the GPC seen at higher temperatures, resulting from beginning self-decomposition of the precursor and CVD growth modes setting in. 

On both Ta and TaN as well as on Ru, the ALD films showed very good adhesion in the tape test, most likely due to the absence of fluorine in the precursor, which is in strong contrast to the typical behavior of CVD grown Cu [30]–[32]. 

6. The growth characteristic for this process shown in Fig. 7 displays a linear behavior with increasing number of ALD cycles in the lower range, while for more than about 200 cycles, the data suggest a steeper increase. 

For this purpose, reduction methods have to be found to convert the oxidic films into metallic Cu. Experiments with isopropanol and formic acid as the reducing agents gave very promising results and will be reported in due course. 

In contrast to the ALD on Ta where considerable formation of clusters was experienced, smooth films could be obtained on Ru also at the higher processing temperatures. 

Because the Cu 2p3/2 core level signal as well as an Auger peak for CuO are not developed, the authors can further conclude that Cu(OH)2 is present in addition to Cu2O. 

XPS analyses carried out approximately 40 days after deposition as well as 100days later reveal that there is a general tendency of Cu2O formation, which is in accordance with other reports where preferably Cu(I) oxide was produced from [Cu(hfac)2] [45], [67] or [Cu(acac)2] [46] and H2O or H2O2. 

Apart from the fact that in those cases no continuous films but only isolated clusters were grown on Ta, their adhesion to the substrate was so poor that they could be wiped off the wafer quite easily. 

With respect to the chemical composition of the films grown under enhanced CVD conditions, one could expect metallic Cu to be present due to disproportionation of the precursor. 

As the authors had alsoobserved such effects on sputter-deposited Cu films, angleresolved XPS analyses were carried out on the ALD samples in order to elucidate whether the Cu(OH)2 results from a surface effect or if it is present throughout the entire ALD films. 

On all substrates investigated, nearly temperatureindependent ALD growth was observed at least up to 120◦C, as depicted by Fig. 11.