scispace - formally typeset
Search or ask a question

Showing papers on "Clock synchronization published in 2015"


Journal ArticleDOI
TL;DR: Tight bounds on the synchronization error are proved in a model that assumes independently and randomly distributed communication delays and slowly changing drifts and much better captures the nature of real-world systems such as wireless networks.
Abstract: Clock synchronization is an enabling service for a wide range of applications and protocols in both wired and wireless networks. We study the implications of clock drift and communication latency on the accuracy of clock synchronization when scaling the network diameter. Starting with a theoretical analysis of synchronization protocols, we prove tight bounds on the synchronization error in a model that assumes independently and randomly distributed communication delays and slowly changing drifts. While this model is more optimistic than traditional worst-case analysis, it much better captures the nature of real-world systems such as wireless networks. The bound on the synchronization accuracy, which is roughly the square root of the network diameter, is achieved by the novel PulseSync protocol. Extensive experiments demonstrate that PulseSync is able to meet the predictions from theory and tightly synchronizes large networks. This contrasts against an exponential growth of the skew incurred by the state-of-the-art protocol for wireless sensor networks. Moreover, PulseSync adapts much faster to network dynamics and changing clock drifts than this protocol.

120 citations


Journal ArticleDOI
TL;DR: This paper studies networks with parameter uncertainties, resulting in heterogeneous agent dynamics, and analyzes the robustness of their output synchronization, revealing structural requirements for non-trivial output synchronization in such networks.

87 citations


Journal ArticleDOI
TL;DR: The simulation results show that the proposed algorithm reduces the communication traffic compared with the DCTS algorithm, and improves the convergence rate due to the combination of clustering topologies.
Abstract: This paper proposes a new time synchronization algorithm for wireless sensor networks, named clustered consensus time synchronization (CCTS). This algorithm is developed on the base of the distributed consensus time synchronization (DCTS) algorithm. However, to obtain faster convergence in the clock synchronization of node and better energy efficiency, the clustering technique is incorporated into the algorithm. The CCTS includes two parts: 1) intracluster time synchronization and 2) intercluster time synchronization. In the intracluster time synchronization, the improved DCTS is applied. The cluster head is responsible for exchanging messages within the cluster. The average value of skew compensation parameters of intracluster virtual clock and the average value of intracluster virtual clocks are used to update the skew compensation parameter and offset compensation parameter, respectively. In the intercluster time synchronization, cluster heads exchange messages via gateway nodes. To update the clock compensation parameters of the network virtual clocks, clock compensation parameters of intracluster virtual clocks of every cluster head are assigned with corresponding weights based on the size of each cluster. The simulation results show that the proposed algorithm reduces the communication traffic compared with the DCTS algorithm, and improves the convergence rate due to the combination of clustering topologies.

83 citations


Proceedings ArticleDOI
24 Aug 2015
TL;DR: Two neighbor discovery protocols are proposed, called Hedis and Todis, that optimize the duty cycle granularity of quorum and co-primality based protocols respectively, by enabling the finest-grained control of heterogeneous duty cycles.
Abstract: Neighbor discovery plays a crucial role in the formation of wireless sensor networks and mobile networks where the power of sensors (or mobile devices) is constrained. Due to the difficulty of clock synchronization, many asynchronous protocols based on wake-up scheduling have been developed over the years in order to enable timely neighbor discovery between neighboring sensors while saving energy. However, existing protocols are not fine-grained enough to support all heterogeneous battery duty cycles, which can lead to a more rapid deterioration of long-term battery health for those without support. Existing research can be broadly divided into two categories according to their neighbor-discovery techniques — the quorum based protocols and the co-primality based protocols. In this paper, we propose two neighbor discovery protocols, called Hedis and Todis, that optimize the duty cycle granularity of quorum and co-primality based protocols respectively, by enabling the finest-grained control of heterogeneous duty cycles. We compare the two optimal protocols via analytical and simulation results, which show that although the optimal co-primality based protocol (Todis) is simpler in its design, the optimal quorum based protocol (Hedis) has a better performance since it has a lower relative error rate and smaller discovery delay, while still allowing the sensor nodes to wake up at a more infrequent rate.

69 citations


Journal ArticleDOI
TL;DR: This article presents an adaptive synchronization technique which allows a node to learn and predict how its clock is drifting relative to its neighbors', and coordinates the instants at which the nodes re-synchronize, thereby extending the battery lifetime of the network.

65 citations


Journal ArticleDOI
TL;DR: This paper describes reference broadcast infrastructure synchronization (RBIS), a clock synchronization protocol for IEEE 802.11 infrastructure wireless networks, especially tailored for industrial and home automation networks, and in many application contexts, it offers several advantages compared with other solutions targeted at similar purposes.
Abstract: This paper describes reference broadcast infrastructure synchronization (RBIS), a clock synchronization protocol for IEEE 802.11 infrastructure wireless networks. The protocol is especially tailored for industrial and home automation networks, and in many application contexts, it offers several advantages compared with other solutions targeted at similar purposes. RBIS has been conceived to rely on conventional Wi-Fi equipment and, in particular, on unmodified access points. It is based on the master/slave approach and follows the receiver/receiver paradigm. An implementation of RBIS—carried out completely in software and based on timestamps taken at the interrupt handler level—has been developed, which achieves a synchronization error below $3\,{\upmu }{\rm s}$ . Then, a simple distributed hard real-time control application has been set up, which consists in two PCs running real-time application interface for Linux (RTAI) and connected through Wi-Fi. The actuation error, measured on the generation of synchronous pulses, is strictly below $13\,{\upmu}{\rm s}$ .

65 citations


Journal ArticleDOI
01 Apr 2015
TL;DR: This survey paper categorizes the features of clock synchronization protocols for WSNs into three types, viz. structural features, technical features, and global objective features, which are required for better understanding of the Clock synchronization protocols in WSN's in a systematic way.
Abstract: Clock synchronization in wireless sensor networks (WSNs) assures that sensor nodes have the same reference clock time. This is necessary not only for various WSN applications but also for many system level protocols for WSNs such as MAC protocols, and protocols for sleep scheduling of sensor nodes. Clock value of a node at a particular instant of time depends on its initial value and the frequency of the crystal oscillator used in the sensor node. The frequency of the crystal oscillator varies from node to node, and may also change over time depending upon many factors like temperature, humidity, etc. As a result, clock values of different sensor nodes diverge from each other and also from the real time clock, and hence, there is a requirement for clock synchronization in WSNs. Consequently, many clock synchronization protocols for WSNs have been proposed in the recent past. These protocols differ from each other considerably, and so, there is a need to understand them using a common platform. Towards this goal, this survey paper categorizes the features of clock synchronization protocols for WSNs into three types, viz. structural features, technical features, and global objective features. Each of these categories has different options to further segregate the features for better understanding. The features of clock synchronization protocols that have been used in this survey include all the features which have been used in existing surveys as well as new features such as how the clock value is propagated, when the clock value is propagated, and when the physical clock is updated, which are required for better understanding of the clock synchronization protocols in WSNs in a systematic way. This paper also gives a brief description of a few basic clock synchronization protocols for WSNs, and shows how these protocols fit into the above classification criteria. In addition, the recent clock synchronization protocols for WSNs, which are based on the above basic clock synchronization protocols, are also given alongside the corresponding basic clock synchronization protocols. Indeed, the proposed model for characterizing the clock synchronization protocols in WSNs can be used not only for analyzing the existing protocols but also for designing new clock synchronization protocols.

62 citations


Journal ArticleDOI
TL;DR: The algorithm proposed in this article (Distributed algorithm for Integrated tree Construction and data Aggregation (DICA) intertwines the tree formation and node scheduling to reduce the time latency and show that DICA outperforms competing schemes.
Abstract: This article considers the data aggregation scheduling problem, where a collision-free schedule is determined in a distributed way to route the aggregated data from all the sensor nodes to the base station within the least time duration. The algorithm proposed in this article (Distributed algorithm for Integrated tree Construction and data Aggregation (DICA)) intertwines the tree formation and node scheduling to reduce the time latency. Furthermore, while forming the aggregation tree, DICA maximizes the available choices for parent selection at every node, where a parent may have the same, lower, or higher hop count to the base station. The correctness of the DICA is formally proven, and upper bounds for time and communication overhead are derived. Its performance is evaluated through simulation and compared with six delay-aware aggregation algorithms. The results show that DICA outperforms competing schemes. The article also presents a general hardware-in-the-loop framework (DAF) for validating data aggregation schemes on Wireless Sensor Networks (WSNs). The framework factors in practical issues such as clock synchronization and the sensor node hardware. DICA is implemented and validated using this framework on a test bed of sensor motes that runs TinyOS 2.x, and it is compared with a distributed protocol (DAS) that is also implemented using the proposed framework.

62 citations


Journal ArticleDOI
TL;DR: This paper considers a network of mobile nodes for which a novel joint time-range model is presented, treating both unsynchronized clocks and the pairwise distances as a polynomial functions of true time, and presents a central data fusion based global least squares algorithm.
Abstract: Synchronization and localization are critical challenges for the coherent functioning of a wireless network, which are conventionally solved independently. Recently, various estimators have been proposed for pairwise synchronization between immobile nodes, based on time stamp exchanges via two-way communication. In this paper, we consider a network of mobile nodes for which a novel joint time-range model is presented, treating both unsynchronized clocks and the pairwise distances as a polynomial functions of true time. For a pair of nodes, a least squares solution is proposed for estimating the pairwise range parameters between the nodes, in addition to estimating the clock offsets and clock skews. Extending these pairwise solutions to network-wide ranging and clock synchronization, we present a central data fusion based global least squares algorithm. A unique solution is nonexistent without a constraint on the cost function e.g., a clock reference node. Ergo, a constrained framework is proposed and a new Constrained Cramer–Rao Bound (CCRB) is derived for the joint time-range model. In addition, to alleviate the need for a single clock reference, various clock constraints are presented and their benefits are investigated using the proposed solutions. Simulations are conducted and the algorithms are shown to approach the theoretical limits.

55 citations


Patent
29 Jun 2015
TL;DR: In this paper, an acoustic echo cancellation system that detects and compensates for differences in sample rates between the AEC system and a set of wireless speakers based on a search-based trial-and-error technique is presented.
Abstract: An acoustic echo cancellation (AEC) system that detects and compensates for differences in sample rates between the AEC system and a set of wireless speakers based on a search-based trial-and-error technique. The system individually determines a frequency offset for each microphone-speaker pair using an iterative process, determining an echo-return loss enhancement (ERLE) value for each offset that is tried, and selecting the frequency offset associated with the largest ERLE value.

54 citations


Journal ArticleDOI
TL;DR: This work addresses the clock synchronization problem for wireless sensor networks where nodes are equipped with a local clock and communicate in order to achieve a common sense of time by consisting of two asynchronous consensus algorithms.

Journal ArticleDOI
TL;DR: This work proposes an efficient protocol named Blind Date with guaranteed worst-case performance 9/10(1 + δ)2x2 where δ is a small fraction of the length of time slot unit and 1/x is the duty cycle and conducts extensive simulations to examine the feasibility and efficiency of the proposed protocols.
Abstract: Many wireless applications urgently demand an efficient neighbor discovery protocol to build up bridges connecting user themselves or to some service providers. However, due to intrinsic constraints of wireless devices, e.g., limited energy and error of clock synchronization, there is still absence of effective and efficient neighbor discovery protocols in the literature. In this work, we propose neighbor discovery protocols for the following two problems. First, we study Asynchronous Symmetry Neighbor Discovery problem, in which potential neighbor devices with asynchronous time clocks but the same duty cycle aim to find each other. Second, we propose an efficient protocol (utilizing Bouncing strategy) named BlindDate with guaranteed worst-case performance ${ 9\over 10} (1+\delta)^2x^2$ where $\delta$ is a small fraction of the length of a time slot unit and ${ 1\over x}$ is the duty cycle. Third, we extend this strategy to address Asynchronous Asymmetry Neighbor Discovery problem, in which both the time clock and the duty cycles of potential neighbors are considered to be heterogeneous. We conduct extensive experiments and simulations to examine the feasibility and efficiency of the proposed protocols, and results show that BlindDate greatly outperforms existing approaches in average-case. Compared with known protocols, BlindDate also achieves a better worst-case discovery latency bound (e.g., $10$ percent performance gain comparing with Searchlight [1] ).

Journal ArticleDOI
TL;DR: A model on a two-way message exchange mechanism with the molecular propagation delay based on the inverse Gaussian distribution and the proposed scheme can achieve better performance in terms of accuracy.
Abstract: Nanonetworks are expected to expand the capabilities of individual nanomachines by allowing them to cooperate and share information by molecular communication. The information molecules are released by the transmitter nanomachine and diffuse across the aqueous channel as a Brownian motion holding the feature of a strong random movement with a large propagation delay. In order to ensure an effective real-time cooperation, it is necessary to keep the clock synchronized among the nanomachines in the nanonetwork. This paper proposes a model on a two-way message exchange mechanism with the molecular propagation delay based on the inverse Gaussian distribution. The clock offset and clock skew are estimated by the maximum-likelihood estimation. Simulation results by MATLAB show that the mean square errors of the estimated clock offsets and clock skews can be reduced and converge with a number of rounds of message exchanges. The comparison of the proposed scheme with a clock synchronization method based on symmetrical propagation delay demonstrates that our proposed scheme can achieve better performance in terms of accuracy.

Proceedings ArticleDOI
13 Apr 2015
TL;DR: It is shown through experiments that this approach outperforms the Network Time Protocol (NTP) on smartphones by an order of magnitude, providing an average 720μs synchronization accuracy with clock drift rates as low as 2ppm.
Abstract: In this paper, we present the design and evaluation of a platform that can be used for time synchronization and indoor positioning of mobile devices. The platform uses the Time-Difference-Of-Arrival (TDOA) of multiple ultrasonic chirps broadcast from a network of beacons placed throughout the environment to find an initial location as well as synchronize a receiver’s clock with the infrastructure. These chirps encode identification data and ranging information that can be used to compute the receiver’s location. Once the clocks have been synchronized, the system can continue performing localization directly using Time-of-Flight (TOF) ranging as opposed to TDOA. This provides similar position accuracy with fewer beacons (for tens of minutes) until the mobile device clock drifts enough that a TDOA signal is once again required. Our hardware platform uses RF-based time synchronization to distribute clock synchronization from a subset of infrastructure beacons connected to a GPS source. Mobile devices use a novel time synchronization technique leverages the continuously free-running audio sampling subsystem of a smartphone to synchronize with global time. Once synchronized, each device can determine an accurate proximity from as little as one beacon using TOF measurements. This significantly decreases the number of beacons required to cover an indoor space and improves performance in the face of obstructions. We show through experiments that this approach outperforms the Network Time Protocol (NTP) on smartphones by an order of magnitude, providing an average 720μs synchronization accuracy with clock drift rates as low as 2ppm.

Proceedings ArticleDOI
15 Jul 2015
TL;DR: This work uses an analysis on a randomized variant of Kaczmarz's algorithm to propose a distributed asynchronous gossip algorithm with expected exponential convergence, and quantifies the convergence rate depending solely on properties of the network topology.
Abstract: We consider the problem of solving a Laplacian system of equations Lx = b in a distributed fashion, where L is the Laplacian of the communication graph. Solving Laplacian systems arises in a number of applications including consensus, distributed control, clock synchronization, localization and calculating effective resistances, to name a few. We leverage our analysis on a randomized variant of Kaczmarz's algorithm to propose a distributed asynchronous gossip algorithm with expected exponential convergence. We quantify the convergence rate depending solely on properties of the network topology, and further propose an accelerated version that scales favorably for larger networks. Our approach naturally extends to least-squares estimation of general linear systems where each row/column is assigned to nodes of a given network. Last but not least, we show that average consensus is a special case in our framework.

Journal ArticleDOI
TL;DR: In this article, the authors developed a new technique for estimating fine clock errors and range between two nodes simultaneously by two-way time-of-arrival measurements using impulse-radio ultrawideband signals.
Abstract: In this paper, we develop a new technique for estimating fine clock errors and range between two nodes simultaneously by two-way time-of-arrival measurements using impulse-radio ultrawideband signals. Estimators for clock parameters and the range are proposed, which are robust with respect to outliers. They are analyzed numerically and by means of experimental measurement campaigns. The technique and derived estimators achieve accuracies below 1 Hz for frequency estimation, below 1 ns for phase estimation, and 20 cm for range estimation, at a 4-m distance using 100-MHz clocks at both nodes. Therefore, we show that the proposed joint approach is practical and can simultaneously provide clock synchronization and positioning in an experimental system.

Patent
02 Mar 2015
TL;DR: In this article, the authors propose a packet inspector that uses the copied timing information and timestamps to synchronize a local clock to a master clock, and forwards the received packets for transmission from a port of the at least one port towards a packet destination that is not a packet source from where the packets originate.
Abstract: Apparatus for synchronizing a local clock to a master clock, the apparatus comprising: at least one port for receiving and transmitting packets; a local clock; and a packet inspector that uses time from the local clock to timestamp packets received at a port of the at least one port, copies timing information from the received packets if the packets are timing distribution packets that are transmitted between a master clock and a slave clock in order to synchronize the slave clock to the master clock, and forwards the received packets for transmission from a port of the at least one port towards a packet destination that is not a packet source from where the packets originate, wherein the local clock uses the copied timing information and timestamps to synchronize the local clock to the master clock.

Journal ArticleDOI
TL;DR: This work proposes two low-complexity consensus-based synchronization algorithms for the hybrid WSN introduced, which are executable at limited embedded computing capacity, e.g., on an 8 bit microcontroller.
Abstract: In industrial applications of wireless sensor networks (WSNs), synchronized sampling of data on each sensor node is often required. Thus, the wireless communication protocol needs to support accurate timing synchronization. If due to a high sampling rate also high data throughput is required, WSNs based on the IEEE 802.15.4 physical layer often do not provide sufficient data rate. Wireless communications based on the well-established IEEE 802.11 wireless local area network (WLAN) standard provides high data throughput but not an accurate timing synchronization unless the protocol stack is severely changed. We propose two low-complexity consensus-based synchronization algorithms for the hybrid WSN introduced, which are executable at limited embedded computing capacity, e.g., on an 8-b microcontroller. A time division multiple access-based synchronization packet broadcasting with three-step-controlled or proportional-integral (PI)-controlled clock adjustment enables 1-kHz sensor sampling rate with a sampling jitter $\mu $ s for the three-step-controlled synchronization algorithm and $ for the PI-controlled algorithm.

Journal ArticleDOI
TL;DR: A novel clock tree resynthesis methodology which is based on a skew scheduling engine which works on an already built clock tree and demonstrates the effectiveness of the offsets at the output pins of the leaf-level clock drivers in comparison to the traditional clock scheduling in the clock pin of the flip-flops due to the better implementability and lesser area overhead.
Abstract: With aggressive technology scaling and complex design scenarios, timing closure has become a challenging and tedious job for the designers. Timing violations persist for multi-corner, multi-mode designs in the deep-routing stage although careful optimization has been applied at every step after synthesis. Useful clock skew optimization has been suggested as an effective way to achieve design convergence and timing closure. Existing approaches on useful skew optimization: 1) calculate clock skew at sequential elements before the actual tree is synthesized and 2) do not account for the implementability of the calculated schedules at the later stages of design cycle. In this paper, we propose a novel clock tree resynthesis methodology which is based on a skew scheduling engine which works on an already built clock tree. The output of the engine is a set of positive and negative offsets which translate to the delay and accelerations, respectively in clock arrival at the clock tree pins. We demonstrate the effectiveness of the offsets at the output pins of the leaf-level clock drivers in comparison to the traditional clock scheduling in the clock pins of the flip-flops due to the better implementability and lesser area overhead and present an algorithm to accurately realize these offsets in the clock tree. Experimental results on large-scale industrial designs demonstrate that our clock tree resynthesis methodology achieves respectively 57%, 12%, and 42% average improvement in total negative slack, worst negative slack, and failure-end-point with an average overhead of 26% in clock tree area. We also experimentally study the impact of on-chip-variation-derates on our approach in terms of the timing metric improvement and clock tree overhead.

Proceedings ArticleDOI
15 Jul 2015
TL;DR: PISync has considerably better performance over FTSP, the de-facto time synchronization protocol in WSNs, in terms of both rate of convergence and steady-state error with the additional advantage of minimal resource requirement.
Abstract: This paper presents PISync, a novel distributed synchronization algorithm based upon a Proportional-Integral (PI) controller for Wireless Sensor Networks (WSNs). PISync synchronizes each sensor node by applying a proportional feedback (P) and an integral feedback (I) on the relative synchronization error with respect to the received reference time which allow to simultaneously compensate both clock offset and frequency differences. We highlight the benefits of this approach in terms of improved steady state error and scalability as compared to least-squares based time synchronization, and we also propose an on-line adaptive strategy for the design of the integrator gain to further improve performance. We present practical flooding-based and fully-distributed protocol implementations of the PISync algorithm and show through real-world experiments that it has considerably better performance over FTSP, the de-facto time synchronization protocol in WSNs, in terms of both rate of convergence and steady-state error with the additional advantage of minimal resource requirement.

Journal ArticleDOI
TL;DR: An approach for synchronizing a wireless acoustic sensor network using a two-stage procedure employing a Kalman filter with a dedicated observation error model and a gossiping algorithm which estimates the average clock frequency and phase of the sensor nodes.

Journal ArticleDOI
TL;DR: This paper presents the carrier synchronization compensation algorithm, a simple and easily implementable carrier frequency synchronization for distributed WSNs with a master-slave structure, and derives the stochastic Cramér-Rao lower bound performance analysis.
Abstract: Carrier frequency synchronization is a difficult problem in distributed wireless sensor networks (WSNs) due to its high-precision requirement. In this paper, we propose simple and easily implementable carrier frequency synchronization for distributed WSNs with a master–slave structure. The slave sensors receive the calibration signal broadcasted from the master sensor (base station) and modulate them in a novel manner such that the retransmitted signal can be easily extracted by the master sensor to compensate the carrier frequency synchronization errors. The advantage of this method is that it does not require a priori establishment of the time synchronization between the master sensor and slave sensors and that no additional resource would be required to transmit as synchronization signal. Moreover, the impact of Doppler frequency shift is canceled to some degree in this approach. We present the carrier synchronization compensation algorithm and discuss several practical implementation issues. We also derive the stochastic Cramer–Rao lower bound performance analysis of the method and provide numerical results that validate the analysis.

Journal ArticleDOI
TL;DR: A novel framework to estimate the required iterations for convergence to fair TDMA scheduling is presented, and the proposed estimates are shown to characterize the desynchronization convergence iterations significantly better than existing conjectures or bounds.
Abstract: Desynchronization approaches in wireless sensor networks converge to time-division multiple access (TDMA) of the shared medium without requiring clock synchronization amongst the wireless sensors, or indeed the presence of a central (coordinator) node. All such methods are based on the principle of reactive listening of periodic "fire" or "pulse" broadcasts: each node updates the time of its fire message broadcasts based on received fire messages from some of the remaining nodes sharing the given spectrum. In this paper, we present a novel framework to estimate the required iterations for convergence to fair TDMA scheduling. Our estimates are fundamentally different from previous conjectures or bounds found in the literature as, for the first time, convergence to TDMA is defined in a stochastic sense. Our analytic results apply to the DESYNC algorithm and to pulse-coupled oscillator algorithms with inhibitory coupling. The experimental evalu- ation via iMote2 TinyOS nodes (based on the IEEE 802.15.4 standard) as well as via computer simulations demonstrates that, for the vast majority of settings, our stochastic model is within one standard deviation from the experimentally- observed convergence iterations. The proposed estimates are thus shown to characterize the desynchronization conver- gence iterations significantly better than existing conjectures or bounds. Therefore, they contribute towards the analytic understanding of how a desynchronization-based system is expected to evolve from random initial conditions to the desynchronized steady state.

Journal ArticleDOI
TL;DR: This paper presents the synchronization of a population of identical moving pulse-coupled oscillators (MPCOs) that are confined to move in a plane with mutual interactions, which are controlled by some pre-determined state threshold values and only take effect inside some known communication radii.
Abstract: This paper presents the synchronization of a population of identical moving pulse-coupled oscillators (MPCOs) that are confined to move in a plane with mutual interactions, which are controlled by some pre-determined state threshold values and only take effect inside some known communication radii. The states are allowed to evolve in a nonlinear and periodical manner in accordance with a smooth, monotonically increasing and concave down function. Sufficient conditions are established for synchronization and the general effects of system parameters on the synchronization rate are further probed into. It is found that increasing signal strength causes the synchronization rate to initially decrease, then remain almost constant, then increase again until it finally decreases. Furthermore, with increasing speed modulus the synchronization rate initially decreases then increases. The synchronization rate, however, decreases with an increasing communication radius. Finally, as a real-world application, the proposed model is used to synchronize the different clocks in an ultra-wide bandwidth wireless ad hoc network.

Journal ArticleDOI
TL;DR: It is shown that the proposed joint approach is practical and can simultaneously provide clock synchronization and positioning in an experimental system.
Abstract: In this paper we develop a new technique for estimating fine clock errors and range between two nodes simultaneously by two-way time-of-arrival measurements us- ing impulse-radio ultra-wideband signals. Estimators for clock parameters and the range are proposed that are robust with respect to outliers. They are analyzed numerically and by means of experimental measurement campaigns. The technique and derived estimators achieve accuracies below 1Hz for frequency estimation, below 1 ns for phase estimation and 20 cm for range estimation, at 4m distance using 100MHz clocks at both nodes. Therefore, we show that the proposed joint approach is practical and can simultaneously provide clock synchronization and positioning in an experimental system.

Journal ArticleDOI
Arjan Vermeij1, Andrea Munafo1
TL;DR: This paper proposes a continuous estimation of internode clock offset and drift, based on the continuous exchange of modem packets, possibly containing transmission and reception timestamps, which is robust against modem reset and will work even if packet delivery success rates are not optimal or if no communication is possible for extended periods of time.
Abstract: Proliferation of deployed sea-going autonomous platforms, such as autonomous underwater vehicles (AUVs), unmanned surface vehicles (USV), and sensor nodes anchored to the seabed, make the deployment of true underwater acoustic networks more and more feasible. An important feature of any network is the ability to synchronize the clocks of the participants, for the purpose of, e.g., time-slotted media access control (MAC) and navigation. Terrestrial clock synchronization protocols, such as the well-established network time protocol (NTP), are not readily applicable to underwater acoustic networks, because of long propagation times, low packet delivery success rates, communication ranges that vary over time in an unpredictable manner, and, in the presence of mobile nodes, the ad hoc nature of the composition of the network. This paper proposes a continuous estimation of internode clock offset and drift, based on the continuous exchange of modem packets, possibly containing transmission and reception timestamps. The proposed solution takes explicitly into account the limitations of the acoustic communication channel and network node mobility. This robust, opportunistic clock synchronization (ROCS) is robust against modem reset, and will work even if packet delivery success rates are not optimal or if no communication is possible for extended periods of time. Experimental results are given from the COLLaborative Asw Behaviours–Next Generation Autonomous Systems (COLLAB-NGAS14) campaign, held October 19–31, 2014, off the west coast of Italy. During the sea trial, the proposed clock synchronization algorithm was deployed and successfully tested within an underwater acoustic network composed of mobile and fixed nodes.

Journal ArticleDOI
Giada Giorgi1
TL;DR: A servo clock based on an efficient implementation of the Kalman filter (KF), called in the following event-based KF that allows to overcome drawbacks of existing KF-based servo clocks with furthermore a significant reduction of the computational cost is proposed.
Abstract: The distribution of a time reference has long been a significant research topic in measurement and different solutions have been proposed over the years. In this context, the design of servo clocks plays an important role to get better performances by smoothing the influence of noise sources affecting a synchronization system. A servo clock is asked to provide an adaptive and conservative measure of the time distance between the local clock and the time reference by minimizing, if possible, the energy consumption. In this paper, we propose a servo clock based on an efficient implementation of the Kalman filter (KF), called in the following event-based KF that allows to overcome drawbacks of existing KF-based servo clocks with furthermore a significant reduction of the computational cost. An in-depth analysis of the synchronization uncertainty has been reported to completely characterize the proposed solution; and finally, some guidelines on how to correctly initialize the KF are provided.

Journal ArticleDOI
TL;DR: This paper proposes an alternative method using graph transformation, which computes a parametric minimum clock period and is more than 104 times faster than Monte Carlo simulation while maintaining a good accuracy.
Abstract: Post-silicon clock tuning elements are widely used in high-performance designs to mitigate the effects of process variations and aging. Located on clock paths to flip-flops, these tuning elements can be configured through the scan chain so that clock skews to these flip-flops can be adjusted after manufacturing. Owing to the delay compensation across consecutive register stages enabled by the clock tuning elements, higher yield and enhanced robustness can be achieved. These benefits are, nonetheless, attained by increasing die area due to the inserted clock tuning elements. For balancing performance improvement and area cost, an efficient timing analysis algorithm is needed to evaluate the performance of such a circuit. So far this evaluation is only possible by Monte Carlo simulation which is very time-consuming. In this paper, we propose an alternative method using graph transformation, which computes a parametric minimum clock period and is more than $ {10}^ {4}$ times faster than Monte Carlo simulation while maintaining a good accuracy. This method also identifies the gates that are critical to circuit performance, so that a fast analysis-optimization flow becomes possible.

Journal ArticleDOI
01 Jan 2015
TL;DR: This article proposes a new algorithm based on linear regression to achieve long-term synchronization between the clocks of different network motes in wireless sensor networks, and adjusts the resynchronization periods and the linear regression window size to reduce the synchronization error.
Abstract: In this article we propose a new algorithm for time synchronization in wireless sensor networks. The algorithm is based on linear regression to achieve long-term synchronization between the clocks of different network motes. Since motes are built using low-cost hardware components, usually their internal local clocks are not very accurate. In addition, there are other effects that affect the clock precision, such as: environmental conditions, supply voltage, aging, manufacturing process. Because some of these causes are external and unpredictable, the clock drift between two motes can change in a random way. Due to these changes, the optimum time window used for performing the linear regression varies with time. The proposed time synchronization algorithm adjusts the resynchronization periods and the linear regression window size to these variations, minimizing the synchronization error. Our algorithm has been tested in real multihop network deployments and the results obtained show higher clock accuracy when compared to the related work.

Journal ArticleDOI
TL;DR: Using affine models for local clocks, a random broadcast based distributed consensus clock synchronization algorithm is proposed and theoretically prove the convergence of the proposed scheme, which is illustrated by numerical results.
Abstract: Clock synchronization is a crucial issue for mobile ad hoc networks due to the dynamic and distributed nature of these networks. In this paper, employing affine models for local clocks, a random broadcast based distributed consensus clock synchronization algorithm is proposed. In the absence of transmission delays, we theoretically prove the convergence of the proposed scheme, which is further illustrated by numerical results. In addition, it is concluded from simulations that the proposed scheme is scalable and robust to transmission delays as well as different accuracy requirements.