scispace - formally typeset
Search or ask a question

Showing papers on "Subthreshold conduction published in 2023"



Journal ArticleDOI
01 Feb 2023
TL;DR: In this article , the authors investigated the trap and temperature-dependent performance barrier and aging issues in Nanosheet FET (NSFET) through well-calibrated TCAD models, and investigated the role of the trap charges around the conduction band (CB) and valence band (VB).
Abstract: The reliability of the CMOS devices is severely affected due to the presence of interface (Si/SiO2) trap charges and self-heating effect (SHE). In this paper, we investigated the trap and temperature-dependent performance barrier and aging issues in Nanosheet FET (NSFET). Through well-calibrated TCAD models, we investigated: a) the threshold voltage (Vth) modulation due to type (donor/acceptor) and concentration of the trap charges; b) the role of the location of the trap charges around the conduction band (CB) and valence band (VB); c) the impact of the ambient temperature (TA) and SHE on the performance of NSFET; d) the performance metrics viz ION, IOFF, subthreshold slope (SS) influenced by the trap assisted SHE; e) the device aging, i.e., end of a lifetime (EOL) defined as Vth shift by ± 50 mV. Hence, trap-assisted SHE analysis by varying the ambient temperature is worth exploring for reliable NSFET operation.

4 citations


Posted ContentDOI
05 Jan 2023-bioRxiv
TL;DR: In this article , a neuron is shown to be a signal mixer akin to an electronic transistor capable of modulating oscillatory human brain dynamics, which has been observed in nearly every cognitive domain and species.
Abstract: A signal mixer made of a transistor facilitates rich computation that has been the building block of modern telecommunication. Here we report that a neural cell is also a signal mixer. We found through ex vivo and in vivo measurements that individual neurons mix exogenous (controlled) and endogenous (spontaneous) subthreshold membrane potential oscillations, thereby producing new oscillations. Furthermore, we demonstrate that the neural mixing dynamic is evident in human brain activity and is associated with our cognitive functions. Neural network oscillations have been observed in nearly every cognitive domain and species. Signal mixing enables single neurons to actively sculpt their network oscillations and utilize them for computational operations, which have only been seen in modern telecommunication until now. One-Sentence Summary We report that a neuron is a signal mixer akin to an electronic transistor capable of modulating oscillatory human brain dynamics.

3 citations


Journal ArticleDOI
TL;DR: In this article , a comprehensive review of the various JLFET structures and modeling techniques for the analysis of their various device parameters have been provided in a single resource, from device evaluation and application to qualitative and quantitative parameter analysis studies likewise subthreshold swing, DIBL and switching ratio.
Abstract: Junction-less field effect transistors, also known as JLFETs, are widely regarded as the most promising candidate to replace the conventional metal oxide semiconductor field effect transistors (MOSFETs) currently used in integrated circuit technology. These FETs are less likely to have short channel effects (SCEs) than devices with junctions, as shown by their remarkable subthreshold slope and drain induced barrier lowering (DIBL). Due to its gate coupling, the gate-all-around (GAA) JLFET is a better contender to uphold Moore's law than other existing device architectures and regular JLFET, allowing more precise channel tuning. Among GAA and JLFET at the same technology node, the SCE is kept to a minimum in GAA. Until now, no comprehensive review of the various JLFET structures and modeling techniques for the analysis of their various device parameters have been provided in a single resource. From device evaluation and application to qualitative and quantitative parameter analysis studies likewise subthreshold swing, DIBL and switching ratio, this review provides comprehensive information on the various structures of Junctionless and GAA JLFETs. Furthermore, various device modeling techniques of JLFETs for enhancing the device's characteristics and its application in various semiconductor industries are provided.

3 citations


Journal ArticleDOI
TL;DR: In this paper , a method for VTA treatment based on subthreshold electrical stimulations using a stretchable epicardial multichannel electrode array, which does not disturb the normal contraction or electrical propagation of the ventricle was presented.
Abstract: The implantable cardioverter-defibrillator (ICD) is an effective method to prevent sudden cardiac death in high-risk patients. However, the transvenous lead is incompatible with large-area electrophysiological mapping and cannot accommodate selective multichannel precision stimulations. Moreover, it involves high-energy shocks, resulting in pain, myocardial damage, and recurrences of ventricular tachyarrhythmia (VTA). We present a method for VTA treatment based on subthreshold electrical stimulations using a stretchable epicardial multichannel electrode array, which does not disturb the normal contraction or electrical propagation of the ventricle. In rabbit models with myocardial infarction, the infarction was detected by mapping intracardiac electrograms with the stretchable epicardial multichannel electrode array. Then, VTAs could be terminated by sequential electrical stimuli from the epicardial multichannel electrode array beginning with low-energy subthreshold stimulations. Last, we used these subthreshold stimulations to prevent the occurrence of additional VTAs. The proposed protocol using the stretchable epicardial multichannel electrode array provides opportunities toward the development of innovative methods for painless ICD therapy.

3 citations


Journal ArticleDOI
01 May 2023-Neuron
TL;DR: Voltron2 as mentioned in this paper is an improved chemigeneic voltage indicator with a 65% higher sensitivity to single APs and 3-fold higher sensitivity for subthreshold potentials than Voltron.

2 citations



Journal ArticleDOI
TL;DR: Abbott et al. as mentioned in this paper presented the gstlal-based TargetEd Subthreshold Lensing seArch search method for the subthreshold signals using reduced template banks targeting specific confirmed gravitational-wave events.
Abstract: Strong gravitational lensing of gravitational waves can produce duplicate signals separated in time with different amplitudes. We consider the case in which strong lensing produces superthreshold gravitational-wave events and weaker subthreshold signals buried in the noise background. We present the gstlal-based TargetEd Subthreshold Lensing seArch search method for the subthreshold signals using reduced template banks targeting specific confirmed gravitational-wave events. We perform a simulation campaign to assess the performance of the proposed search method. We show that it can effectively uprank potential subthreshold lensed counterparts to the target gravitational-wave event. We also compare its performance to other alternative solutions to the posed problem and demonstrate that our proposed method outperforms the other solutions. The method described in this paper has already been deployed in the recent LVK Collaboration-wide search for lensing signatures of gravitational waves in the first half of LIGO/Virgo third observing run O3a [R. Abbott et al. (LIGO Scientific, Virgo Collaborations), Astrophys. J. 923, 14 (2021).].

2 citations


Journal ArticleDOI
TL;DR: In this paper , the MI-OTA was used to realize a first-order universal filter which can be obtained easily from a single topology by applying the input signal to the appropriate terminals.
Abstract: This paper presents a new application of the multiple-input operational transconductance amplifier (MI-OTA). The MI-OTA has been used to realize a first-order universal filter which shows that the first-order transfer functions such as low-pass, high-pass, and all-pass filters can be obtained easily from a single topology by applying the input signal to the appropriate terminals. Moreover, both non-inverting and inverting transfer functions of all filtering functions can be obtained. The pole frequency of all filters can also be controlled electronically. The first-order all-pass filters have been selected to realize high-quality band-pass filter. For low-voltage supply operation and extremely low power consumption, the proposed MI-OTA is realized by the multiple-input bulk-driven MOS transistor technique with transistors operating in subthreshold voltage region. The circuit has been simulated using the $0.18 \mu \text{m}$ TSMC CMOS technology with 0.5 V of supply voltage and it consumes 29.77 nW of power for 10 nA nominal setting current. The post-layout simulation results show that the applications of MI-OTA agree well with theory.

2 citations


Journal ArticleDOI
TL;DR: In this paper , the authors investigated the source and signaling mechanisms of Ca2+ that couple sub-threshold depolarization with the enhancement of glutamate release in hippocampal cultures and CA3 pyramidal neurons.
Abstract: Subthreshold depolarization enhances neurotransmitter release evoked by action potentials and plays a key role in modulating synaptic transmission by combining analog and digital signals. This process is known to be Ca2+-dependent. However, the underlying mechanism of how small changes in basal Ca2+ caused by subthreshold depolarization can regulate transmitter release triggered by a large increase in local Ca2+ is not well understood. This study aimed to investigate the source and signaling mechanisms of Ca2+ that couple subthreshold depolarization with the enhancement of glutamate release in hippocampal cultures and CA3 pyramidal neurons. Subthreshold depolarization increased presynaptic Ca2+ levels, the frequency of spontaneous release, and the amplitude of evoked release, all of which were abolished by blocking L-type Ca2+ channels. A high concentration of intracellular Ca2+ buffer or blockade of calmodulin and phospholipase C abolished depolarization induced increases in transmitter release. Estimation of the readily releasable pool size using hypertonic sucrose showed depolarization induced increases in readily releasable pool size, and this increase was abolished by blockade of calmodulin or phospholipase C. Our results provide mechanistic insights into the modulation of transmitter release by subthreshold potential change and highlight the role of L-type Ca2+ channels in coupling subthreshold depolarization to the activation of Ca2+-dependent signaling molecules that regulate transmitter release. SIGNIFICANCE Neuronal activities are encoded by action potentials, but subthreshold changes in resting membrane potentials also play important roles in regulating neuronal functions including synaptic transmission. It is, however, poorly understood how small changes in basal Ca2+ induced by subthreshold depolarization regulate transmitter release triggered by a large increase in local Ca2+ in presynaptic terminals. We demonstrate that L-type Ca2+ channels are the major source of presynaptic Ca2+ influx at basal state and during subthreshold depolarization, resulting in the activation of signaling molecules such as calmodulin and phospholipase C, which facilitate transmitter release by increasing both release probability and the readily releasable pool size. Our results provide mechanistic insight into how subthreshold potential changes contribute to regulating transmitter release.

1 citations


Journal ArticleDOI
TL;DR: In this article , the authors evaluate the speed and scalability potential of ambipolar deep-subthreshold printed carbon-nanotube thin-film transistors (CNT-TFTs) for the design of ultra-low-power CMOS-like circuits.
Abstract: This work evaluates the speed and scalability potential of ambipolar deep-subthreshold printed-carbon-nanotube thin-film transistors (CNT-TFTs) for the design of ultra-low-power CMOS-like circuits. Transistor and circuit simulations are developed based on experimental device measurements. Our simulations allow the assessment of this emerging printed electronics technology in terms of speed, energy/power consumption and scalability to digital circuits of progressively higher transistor count including elementary logic gates, ring-oscillators and other representative digital circuits. It is shown that digital circuits based on this technology are compatible with propagation delays ≤ 1 ms per NOT logic gate, while operating at ultra-low supply voltages (0.2 V) and with ultra-low static power dissipation (1 pW). Finally, this study develops Monte Carlo simulations to assess the impact of device parameter variations on the viability of large-scale circuit integration based on ambipolar deep-subthreshold printed-CNT-TFTs.

Journal ArticleDOI
TL;DR: In this paper , the authors examined whether differences exist between university students who deteriorated from sub-threshold depression to major depressive disorder (MDD) and those who remained stable or improved.
Abstract: COVID-19 has exposed university students to high-stress situations, and the percentage of individuals with depressive symptoms was high during the COVID-19 pandemic. Furthermore, subthreshold depression carries a risk for the subsequent development of major depressive disorder (MDD). During the COVID-19 pandemic, we examined whether differences exist between university students who deteriorated from subthreshold depression to MDD and those who remained stable or improved. Four hundred seventeen participants completed all the measures twice over a one-year interval. One hundred twenty-three participants met the criteria for subthreshold depression at Time 1. One year later, 42 participants no longer met the criteria for subthreshold depression, 68 participants maintained the criteria for subthreshold depression, and 13 participants met the criteria for MDD. We conducted two-way repeated measures ANOVA to examine the differences between those who deteriorated from subthreshold depression to MDD and those who did not. The study results suggest that avoidance behavior is associated with the development of MDD from subthreshold depression. Additionally, the study showed that experiencing isolation relates to MDD onset. Therefore, we should monitor avoidance behavior and isolation in pandemic conditions. Consequently, attention to avoidance behavior and isolation may be important; however, further research is required.

Journal ArticleDOI
TL;DR: In this paper , the effectiveness and safety of a yellow subthreshold laser (STL) for the treatment of chronic central serous chorioretinopathy delivered in a fovea-sparing pattern was evaluated.
Abstract: The aim of this study was to evaluate the effectiveness and safety of a yellow subthreshold laser (STL) for the treatment of chronic central serous chorioretinopathy delivered in a fovea-sparing pattern and to analyze the post-laser changes in the choroidal structure by Swept-Source Optical Coherence Tomography. This study was a prospective case series of 43 eyes corresponding to 37 patients. Data were recorded at 6, 12 and 24 weeks after the STL treatment. The best-corrected visual acuity improved in 93% of the patients and remained stable in 7%. The subretinal fluid was completely reabsorbed in 27.9%, 32.6% and 69.8% of the patients at 6, 12 and 24 weeks, respectively. There were reductions in the choroidal thickness of 13.1% and 25.3% at 12 and 24 weeks, which corresponded to reductions of 17.5% and 45.9% in the choriocapillaris and Sattler layer and reductions of 12.2% and 21.2% in the Haller layer at 12 and 24 weeks, respectively (p < 0.05). This might account for the effect of the laser on the inner choroidal vasculature, the dysregulation of which is believed to be at the core of central serous chorioretinopathy. No laser-related complications were detected. Overall, the fovea-sparing STL was safe and effective in this series of patients.

Journal ArticleDOI
TL;DR: In this paper , a power management circuit (PMC) employs optimum power point (OPP) instead of conventional maximum power point extraction from the thermoelectric generator (TEG) for efficient source to load energy transfer.
Abstract: This work's power management circuit (PMC) employs optimum power point (OPP) instead of conventional maximum power point (MPP) extraction from the thermoelectric generator (TEG) for efficient source to load energy transfer. Based on postlayout verification of the TSMC 180-nm deep submicron model, this PMC generates above 100 μ $$ \upmu $$ W of power with a regulated output of 2.5 V within 40 ms from 285-mV cold-start. The main contribution here is the constant time OPP extraction technique at 125.33 μ $$ \upmu $$ s. Also, the PMC is almost fully on-chip with layout area of 3.8 mm by 3.25 mm for RTEG between 0.29 Ω $$ \Omega $$ and 4.17 Ω $$ \Omega $$ . OPP can be achieved by matching these RTEG values to the corresponding off-chip inductor, L. Using an overall figure-of-merit (FOM) to evaluate different design goals results in overall OPP-based PMC having at least 2.85 times superior FOM compared to prior arts with MPP-based schemes. This overall improvement in speed, power, and pumping efficiency facilitates battery-less solutions in TEG-based wearable sensor applications.

Journal ArticleDOI
TL;DR: In this article , an analytical model for a twin gate tunnel field effect transistor's drain current operating in the sub-threshold and superthreshold regions is proposed, the ratio of transconductance to drain current, a crucial metric for the integrated analog circuit design technique, has been retrieved.

Journal ArticleDOI
TL;DR: In this paper , the impact of S/D recess engineering on the device performance of both the gate-all-around (GAA) nanosheet (NS) field effect transistor (FET) and FinFET have been comprehensively studied at 5 nm node technology.
Abstract: Impacts of source/drain (S/D) recess engineering on the device performance of both the gate-all-around (GAA) nanosheet (NS) field-effect transistor (FET) and FinFET have been comprehensively studied at 5 nm node technology. TCAD simulation results show that the device off-leakage, including subthreshold leakage through the channel (Isub) and punch-through leakage (IPT) in the sub-channel, is strongly related to the S/D recess process. Firstly, device electrical characteristics such as current density distributions, On/Off-state current (Ion, Ioff), subthreshold swing (SS), RC delay, and gate capacitance (Cgg) are investigated quantitatively for DC/AC performance evaluation and comparison according to S/D lateral recess depth (Lrcs) variations. For both device types, larger Lrcs will result in a shorter effective channel length (Leff), so that the Ion and Ioff simultaneously increase. At the constant Ioff, the Lrcs can be optimized to enhance the device’s drivability by ~3% and improve the device’s RC delay by ~1.5% due to a larger Cgg as a penalty. Secondly, S/D over recess depth (Hrcs) in the vertical direction severely affects the punch-through leakage in the Sub-Fin or bottom parasitic channel region. The NSFET exhibits less Ioff sensitivity provided that it can be well controlled under 12 nm since the bottom parasitic channel is still gated. Furthermore, with both Hrcs and Lrcs accounted for in the device fabrication, the NSFET still shows better control of the off-leakage in the intrinsic and bottom parasitic channel regions and ~37% leakage reduction compared with FinFETs, which would be critical to enable further scaling and the low standby power application. Finally, the S/D recess engineering strategy has been given: a certain lateral recess could be optimized to obtain the best drive current and RC delay, while the vertical over-recess should be in tight management to keep the static power dissipation as low as possible.

Journal ArticleDOI
TL;DR: In this article , a subthreshold input voltage charge pump based on the well-known cross-coupled voltage doubler and using boosted gate voltages for the transfer switches is presented.
Abstract: A subthreshold input voltage charge pump based on the well-known cross-coupled voltage doubler and using boosted gate voltages for the transfer switches is presented. A level shifter and some inverters, including a novel inverter architecture proposed in this work and referred to as negative low-state voltage inverter, are used to generate the clock signals for the switching transistors with the purpose of significantly improving their drive capability. A complete analysis of the proposed charge pump is provided to highlight the advantages of the implemented structure, revealing the power efficiency improvement when the input voltage is below the threshold voltage of the transistors. An extensive experimental characterization of silicon prototypes in 180 nm CMOS technology was carried out, showing that the proposed scheme is able to pump charge from an input voltage as low as 110 mV. The experimental peak efficiency remains above 70% for input voltages between 180 mV and 400 mV and input power levels from 45 nW to 25 $\mu \text{W}$ , which are appropriate for different miniaturized transducers implementable on chip.

Posted ContentDOI
15 Jun 2023-bioRxiv
TL;DR: In this paper , the authors tested whether biophysical properties of voltage-gated sodium (Na+) and potassium (K+) currents in human pyramidal neurons can explain their fast input-output properties.
Abstract: Human cortical pyramidal neurons are large, have extensive dendritic trees, and yet have surprisingly fast input-output properties: rapid subthreshold synaptic membrane potential changes are reliably encoded in timing of action potentials (APs). Here, we tested whether biophysical properties of voltage-gated sodium (Na+) and potassium (K+) currents in human pyramidal neurons can explain their fast input-output properties. Human Na+ and K+ currents exhibited more depolarized voltage-dependence, slower inactivation and faster recovery from inactivation compared with their mouse counterparts. Computational modeling showed that despite lower Na+ channel densities in human neurons, the biophysical properties of Na+ channels resulted in higher channel availability and contributed to fast AP kinetics stability. Finally, human Na+ channel properties also resulted in a larger dynamic range for encoding of subthreshold membrane potential changes. Thus, biophysical adaptations of voltage-gated Na+ and K+ channels enable fast input-output properties of large human pyramidal neurons. One-Sentence Summary Biophysical properties of Na+ and K+ ion channels enable human neurons to reliably encode fast inputs into output.

Journal ArticleDOI
TL;DR: In this paper , an analytical physical modeling of undoped electrolyte gated organic field effect transistors (EGOFETs) in the Helmholtz approximation is presented, which describes all operating regimes continuously (subthreshold, linear, and saturation regimes) and covers channel lengths down to a few micrometres.
Abstract: The analytical physical modeling of undoped electrolyte gated organic field effect transistors (EGOFETs) in the Helmholtz approximation is presented. A compact analytical model for the current–voltage (I–V) characteristics, which includes the effects of the access series resistance, has been derived and validated by means of 2D finite element numerical calculations. The model describes all operating regimes continuously (subthreshold, linear, and saturation regimes), covers channel lengths down to a few micrometres and only includes physical device parameters. From the model, analytical expressions have been proposed for all the phenomenological parameters (e.g., capacitance, threshold voltage, sub‐threshold slope voltage, and sub‐threshold capacitance) appearing in the commonly used ideal FET model. The derived analytical physical model provides a simple and quantitative way to analyze the electrical characteristics of EGOFETs and EGOFET biosensors beyond the use of the oversimplified and phenomenological ideal FET model.

Journal ArticleDOI
TL;DR: In this article , an analytical model of the SDT current and the corresponding subthreshold swing was presented, which was validated by cryogenic measurement on devices from an advanced 16nm FinFET technology.
Abstract: The subthreshold swing (SS) of MOSFETs decreases with temperature and then saturates below a critical temperature. Hopping conduction via the band tail has been proposed as the possible cause for the SS saturation. On the other hand, numerical simulations have shown the source-to-drain tunneling (SDT) current limits the SS at low temperatures. It has been argued which transport mechanism dominates the cryogenic subthreshold current. Hence, for the first time, this paper presents an analytical model of the SDT current and the corresponding SS, which is validated by cryogenic measurement on devices from an advanced 16nm FinFET technology.

Journal ArticleDOI
TL;DR: In this paper , the total ionizing dose sensitivity of planar 150 nm CMOS technology is evaluated by measuring the DC responses of nMOSFETs at several irradiation steps up to 125 krad(SiO2).
Abstract: The total ionizing dose sensitivity of planar 150 nm CMOS technology is evaluated by measuring the DC responses of nMOSFETs at several irradiation steps up to 125 krad(SiO2). Different TID sensitivities are measured for transistors built with different channel dimensions and operating voltages (I/O and core). The experimental results evidence strong relations between TID sensitivity and the doping profiles in the channel. I/O transistors have the highest TID sensitivity due to their thicker gate oxide and lower bulk doping compared with core devices. In general, narrow-channel devices have the worst degradation with negative threshold voltage shifts, transconductance variations and increased subthreshold leakage currents, suggesting charge trapping in shallow trench isolation (STI). The enhanced TID tolerance of short-channel core devices is most likely related to the increased channel doping induced by the overlapping of halo implantations. Finally, transistors fabricated for low-leakage applications exhibit near insensitivity to TID due to higher bulk doping used during the fabrication to minimize the drain-to-source leakage current.

Proceedings ArticleDOI
07 Mar 2023
TL;DR: Algorithms for solving the Poisson, Schrödinger and Boltzmann transport equations under cryogenic conditions and at room temperature are presented in this article , and the simulated subthreshold swing is free of numerical artifacts and agrees well with the theoretical result.
Abstract: Algorithms for solving the Poisson, Schrödinger and Boltzmann transport equations under cryogenic conditions and at room temperature are presented. The Boltzmann transport equation is stabilized with Godunov's method after a transformation onto the total energy resulting in excellent numerical stability regardless of the strength of scattering. DC and transient electron transport in nanowire NMOSFETs can be investigated. The simulated subthreshold swing is free of numerical artifacts and agrees well the theoretical result.

Journal ArticleDOI
TL;DR: In this paper , the electrical properties of an Inverse Gaussian Junctionless Gate All Around (IG-JLT-GAA) field effect transistor for identifying neutral and charged bioanalytes were discussed.
Abstract: This article discusses the electrical properties of an Inverse Gaussian Junctionless Gate All Around (IG-JLT-GAA) field effect transistor for identifying neutral and charged bioanalytes. In this work, the inverse Gaussian doping profile enables the potent command of gate over charge in channel in the GAA structure, resulting in improved performance attributes such as OFF current, ON current, current ratio, subthreshold slope, drain-induced barrier lowering, threshold voltage, and transconductance for the proposed biosensor. The leakage current (OFF) is 10−17 A, and the drain current (ON) is 10−6 A, with their ratio of 10−11 fetched at K = 10. This article investigates the effect of varying dielectric constants of biomolecules immobilized in cavity reflects the enhancement in biosensor sensitivity due to the immobilization of high dielectric constant protein bioanalytes. The drain-induced barrier lowering (DIBL) and subthreshold swing (SS) are 4.4 mV/V and 60.6688 mV/dec, respectively, for K = 10. The estimated threshold voltage sensitivity (SVT) for various dielectric constant biomolecules was found to be 9.7 and 110.5 mV for K = 1 and K = 10, respectively. Further, the performance of the biosensor using well-known biotin biomolecules with K = 2.63 is studied, and the result shows that the biosensor performs outstandingly in all performance attributes required for the detection of charged and neutral biomolecules.

Proceedings ArticleDOI
03 Mar 2023
TL;DR: In this paper , an 8-bit ALU using OAI logic is presented. But the ALU can be operated at sub-threshold voltage and the proposed work offers saving up to 68.54% in power consumption.
Abstract: This paper explains about an 8-bit ALU using OAI logic. This architecture of the S-bit ALU uses 90nm CMOS technology. OR-AND-INVERT (OAI) logic is used in the design to reduce power consumption. There are four main components of ALU. They are AE, LE, CE and FA. All these blocks are designed in both CMOS and OAI logic. The results are verified with SS, SF, FS, FF process corners at supply voltages of 1.2V, 0.8V and 0.4V. The power consumption observed is very less at SS process corner. Using this OAI logic the ALU can be operated at subthreshold voltage. The proposed work offers saving up to 68.54% in power consumption.


Journal ArticleDOI
TL;DR: In this paper , a double-material double-gate tunnel field effect transistor with a P+ pocket (PP-DMG TFET) was proposed to enhance the performance of the pocket-engineered TFET.
Abstract: In this paper, we propose a doping-less dual-material double-gate tunnel field-effect transistor with a P+ pocket (PP-DMG TFET). This gate-engineered technique is typically used in a MOSFET to improve device performance. The P+ pocket is embedded at the source side to enhance the performance of the pocket-engineered PP-DMG TFET device. This paper compares the performance of four DG-TFET-based devices, i.e. single-material gate (SMG), single-material gate with P+ pocket (PP-SMG), dual-material gate (DMG), and dual-material gate with P+ pocket (PP-DMG), by using 2D simulations. Electrostatic doping based on the charge plasma concept forms the requisite n–i–p+ structure for tunneling formed on a thin intrinsic silicon layer. The proposed device (PP-DMG) has high ON-current capability, a high ON/OFF ratio and lower point subthreshold of 15.3 mV/dec, and an average subthreshold of 18.6 mV/dec. The analog parameters transconductance (gm) and cutoff frequency (fT) show impressive improvement. The device efficiency and transconductance frequency product (TFP) are also discussed. Finally, linearity and distortion analysis of parameters including VIP2, VIP3, IIP3, and IMD3 is carried out.



Journal ArticleDOI
TL;DR: In this article , the electrical transport characteristics of high-performance field effect transistors based on graphene nanoscroll are studied in the framework of analytical modeling, and the characterization of the proposed device is investigated by applying the analytical models of carrier concentration, quantum capacitance, surface potential, threshold voltage, sub-threshold slope and drain induced barrier lowering.
Abstract: Graphene nanoscroll, because of attractive electronic, mechanical, thermoelectric and optoelectronics properties, is a suitable candidate for transistor and sensor applications. In this research, the electrical transport characteristics of high-performance field effect transistors based on graphene nanoscroll are studied in the framework of analytical modeling. To this end, the characterization of the proposed device is investigated by applying the analytical models of carrier concentration, quantum capacitance, surface potential, threshold voltage, subthreshold slope and drain induced barrier lowering. The analytical modeling starts with deriving carrier concentration and surface potential is modeled by adopting the model of quantum capacitance. The effects of quantum capacitance, oxide thickness, channel length, doping concentration, temperature and voltage are also taken into account in the proposed analytical models. To investigate the performance of the device, the current-voltage characteristics are also determined with respect to the carrier density and its kinetic energy. According to the obtained results, the surface potential value of front gate is higher than that of back side. It is noteworthy that channel length affects the position of minimum surface potential. The surface potential increases by increasing the drain-source voltage. The minimum potential increases as the value of quantum capacitance increases. Additionally, the minimum potential is symmetric for the symmetric structure (Vfg = Vbg). In addition, the threshold voltage increases by increasing the carrier concentration, temperature and oxide thickness. It is observable that the subthreshold slope gets closer to the ideal value of 60 mV/dec as the channel length increases. As oxide thickness increases the subthreshold slope also increases. For thinner gate oxide, the gate capacitance is larger while the gate has better control over the channel. The analytical results demonstrate a rational agreement with existing data in terms of trends and values.

Book ChapterDOI
01 Jan 2023
TL;DR: In this paper , a 0.3 V rail-to-rail three-stage OTA with two fully differential stages and the use of an additional local common-mode feedback (CMFB) loop is presented.
Abstract: This paper presents a 0.3 V rail-to-rail three stage OTA. Due to the topology of the input stage, to the three gain stages and to subthreshold operation, the proposed OTA exhibits high dc gain in spite of the bulk-driven input. In addition, thanks to the adoption of two fully differential stages and the usage of an additional local common-mode feedback (CMFB) loop, the common-mode rejection ratio (CMRR) is greatly improved with respect to other ULV bulk-driven OTAs. The first stage is a bulk-driven Arbel-based stage with rail-to-rail input and doubled transconductance gain. The second stage, is gate-driven to enhance the dc gain. The third stage is again a bulk-driven amplifier and implements the differential to single-ended conversion through a gate-driven current mirror. The proposed OTA has been fabricated in a commercial 130 nm CMOS process from STMicroelectronics. Its area is about 0.002 mm $$^2$$ , and it consumes 30 nW at the supply-voltage of 0.3 V. The DC gain and unit-gain frequency are about 85 dB and 10 kHz, respectively, with a load capacitance of 35 pF.