scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 1973"


Journal ArticleDOI
TL;DR: In this article, the temperature dependence of electrical conductivity of rf-sputtered amorphous Ge, Si, and Ge-Si films as functions of annealing temperature and time have been investigated.
Abstract: The temperature dependence of electrical conductivity of rf-sputtered amorphous Ge, Si, and Ge-Si films as functions of annealing temperature and time have been investigated for $350\ensuremath{\gtrsim}T\ensuremath{\gtrsim}77$ K. Annealing shifts the hopping region to lower temperatures. We find that reasonable values of Mott's parameters are obtained only for properly annealed specimens in the true hopping-conduction region.

247 citations


Journal ArticleDOI
TL;DR: In this article, single crystal aluminium surfaces were prepared by auto-epitaxy on these substrates and the work functions of the surfaces were determined photoelectrically, showing a linear relationship between surface atom density and work function for the three faces investigated.
Abstract: (100), (110) and (111) single crystal aluminium substrates were thoroughly outgassed by heating by electron bombardment in ultra high vacuum. Fresh single crystal surfaces were prepared by autoepitaxy on these substrates and the work functions of the surfaces were determined photoelectrically. The general effect of the deposition of an aluminium film on the substrate was to reduce the work function, but annealing the film at temperatures between 473 K and 573 K caused the work function to return to a constant value which was taken to be characteristic of the ordered surface. (100) aluminium surfaces were also prepared by epitaxy on potassium chloride crystals. The effect of argon ion bombardment on a bulk (110) aluminium surface is to increase the work function towards the polycrystalline value, but the characteristic value for the (110) face may be restored by annealing the crystal. There is a linear relationship between surface atom density and work function for the three faces investigated.

140 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of 300-keV proton bombardment and subsequent annealing on the optical absorption and electrical resistivity of bulk p-type GaAs has been studied.
Abstract: The effect of 300‐keV proton bombardment and subsequent annealing on the optical absorption and electrical resistivity of bulk p‐type (p=2×1018 and 1.4×1019 cm−3) GaAs has been studied. Proton doses were in the range 1013−1017 cm−2. It is found that bombardment‐induced optical absorption increases monotonically, but sublinearly, with proton dose. The shape of the optical transmission spectrum indicates that bombardment creates a distribution of energy levels extending into the forbidden gap. Activation energies for annealing of the optical absorption have been determined from isothermal annealing data and range from ∼1.5 to ∼3.4 eV, which indicates that at least two kinds of defects are involved. Current‐voltage measurements show that the average electrical resistivity of the bombarded layers goes through a maximum at ∼2.5×105 Ω cm at a proton dose of ∼3×1015 cm−2. It is shown that annealing can eliminate the bombardment‐induced optical absorption while still retaining a high electrical resistivity. The o...

132 citations


Journal ArticleDOI
TL;DR: In this article, the presence of electrically active defects in 1.0-MeV-IR-irradiated silicon was observed using thermally stimulated capacitance measurements, and the introduction of defects by annealing was observed.
Abstract: The presence of electrically active defects in 1.0-MeV-electron-irradiated silicon was observed using thermally stimulated capacitance measurements. Thermal-emission rates and activation energies of trapped majority carriers, impurity dependences, and annealing behavior of these defects were determined. The introduction of defects by annealing was observed. A total of 14 thermal-activation energies were measured. The oxygen-vacancy pair and the phosphorous-vacancy pair were correlated with thermal-activation energies of 174 and 471 meV through impurity dependences and annealing behavior. Comparison with other published data was made. The charge states were measured and it was found that the defects above midgap are acceptors; those below midgap are donors.

120 citations


Journal ArticleDOI
TL;DR: In this paper, the relationship between morphology and physical properties of amorphous poly-bisphenol-A carbonate was examined as a function of annealingtime at temperatures below the glass transition temperature (Tg).
Abstract: The relationship between morphology and several physical properties (tensile, thermal, dielectric, and dynamic mechanical properties) of amorphous poly-bisphenol-A-carbonate was examined as a function of annealingtime at temperatures below the glass transition temperature (Tg). The change in structure of the amorphous films was studied by means of X-ray diffraction and with electron diffraction using a rotating sector in an electron microscope as well as by electron micrographs of replicas of surfaces prepared by etching with dilute aqueous NaOH solutions. The changes in morphology and physical properties caused by annealing below Tg are, in general, closely related. The relationship cannot be explained only by changes in free volume; it is proposed that changes in the degree and type of order (nodular structure) also play a role. The design and application of the rotating sector is described in an Appendix.

116 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe a diffusion-controlled growth of Pd2Si on amorphous Si substrates with a t 0.5 dependence on the substrate orientation.

111 citations


Journal ArticleDOI
TL;DR: In this article, the influence of annealing on the concentration profiles of boron implanted into silicon with does of 1014 ions/cm2 up to 1016 ions/ cm2 and an energy of 70 keV was studied.
Abstract: The influence of annealing on the concentration profiles of boron implanted into silicon with does of 1014 ions/cm2 up to 1016 ions/cm2 and an energy of 70 keV was studied. The concentration profiles were measured with Secondary Ion Mass Spectrometry (SIMS). The broadening of the concentration profiles during annealing can be described as a superposition of effects resulting from a relatively immobile and a mobile boron fraction. The properties of the immobile boron fraction were studied by measuring the influence of a boron implantation on the distribution of a homogeneous boron background dope. From these experiments it was concluded that the immobile boron fraction consists of boron precipitates. The properties of the mobile fraction were studied from concentration profiles that were obtained after annealing during different periods at the same temperature. It was found that during the initial stage of the annealing process a fast broadening of the profile occurs; this was assumed to be due to an interstitial type boron diffusion. After prolonged annealing the much slower substitutional type diffusion prevails, due to trapping of the interstitial boron atoms by vacancies. The reliability of the SIMS method, as applied to profile measurements, was checked for the high boron doses used in this investigation. Excessive boron precipitates, obtained after annealing of a high dose, such as 1016 ions/cm2 at about 1000°C, appear to give some increase of the ion yield.

96 citations


Journal ArticleDOI
TL;DR: In this article, the Charpy notched impact strength of polydiancarbonate polycarbonate was measured and it was shown that the polymer can exist in one of two modifications A and B. The A form can be converted to B form by annealing process which proceeds rapidly above 80°C.
Abstract: Measurements of the Charpy notched impact strength of polydiancarbonate indicate that the polymer can exist in one of two modifications A and B. There is a temperature (below room temperature) above which the A form is unusually tough; the B modification is comparatively brittle at all temperatures. The A form can be converted to the B form by an annealing process which proceeds rapidly above 80°C. We have not been able to determine the precise morphological difference between the A and B forms but most of the data can be explained by making a hypothesis about the yield behaviour of the A form.

87 citations


Journal ArticleDOI
TL;DR: In this article, the orientation relations between cementite, ferrite and austenite as well as morphological aspects of the transformation were examined in the presence of a suitable iron-manganese-carbon alloy.
Abstract: By choice of a suitable iron—manganese—carbon alloy it has been possible to study pearlite nodules growing in austenite, without the austenite transforming on cooling to room temperature. Thin foil electron microscopy has been used to examine the orientation relations between cementite, ferrite and austenite as well as morphological aspects of the transformation. It is shown that one of the classical ferrite—cementite orientation relations found in pearlite (Pitsch—Petch) arises when the pearlite colonies nucleate on ‘clean’ austenite grain boundaries. The other familiar relation (Bagaryatski) arises when the colonies nucleate on pre-existing hyper-eutectoid cementite layers at the austenite grain boundaries. Some observations are made on the mode of nucleation of the pearlite nodules.

74 citations


Journal ArticleDOI
TL;DR: In this paper, the phase composition of aluminium films after bombardment with boron, carbon, nitrogen, phosphorus, and arsenic ions is investigated by the electron-diffraction method.
Abstract: The phase composition of aluminium films after bombardment with boron, carbon, nitrogen, phosphorus, and arsenic ions is investigated by the electron-diffraction method. It is shown that in all the cases except of bombardment with B+ ions the known compounds of aluminium with the indicated species are formed. The phase formed as a result of B+ bombardment could not be identified with the known aluminiumborides. It is shown that the texture of the formed phases is determined by the texture of the initial aluminium films indicating the epitaxial (or endotaxial) character of growth of these phases. In some cases AIP and AlAs phases are formed in an amorphous state. This is explained by insufficient substrate temperature for the crystallization or by amorphization under ion beam action. It is further shown that at bombardment of α-iron films with C+ ions ϵ-carbide is formed which after annealing at T ≧ 300 °C transforms into cementite. [Russian Text Ignored].

70 citations


Journal ArticleDOI
TL;DR: In this paper, the lateral spread of implanted Kr ions into Si substrates has been directly measured by the He+ backscattering technique without ambiguities due to the cutting angle of a mask edge and the annealing process.
Abstract: Without ambiguities due to the cutting angle of a mask edge and the annealing process, the lateral spread of implanted Kr ions into Si substrates has been directly measured by the He+ backscattering technique. The experimental results show good agreement with theoretical predictions.

Journal ArticleDOI
TL;DR: In this article, the authors present results obtained on rapid solidification of aluminium-silicon alloys from the liquid state, and show that the limit of primary solid solubility is extended almost to the eutectic composition and that the large supersaturation is relieved on raising the annealing temperature to the range 110 to 450° C.
Abstract: This paper present results obtained on rapid solidification of aluminium-silicon alloys from the liquid state. It shows that the limit of primary solid solubility is extended almost to the eutectic composition and that the large supersaturation is relieved on raising the annealing temperature to the range 110 to 450° C. This conclusion is based on measurements of lattice parameter and is also supported by corresponding changes in hardness and metallographic features.

Journal ArticleDOI
TL;DR: In this article, the energy properties of annealing twins in pure aluminium were investigated by thin film transmission electron microscopy techniques, and the formation of grain-corner twins was observed for the first time.

Journal ArticleDOI
TL;DR: The defect structure of crustally deformed orthopyroxenes from a dunite, a peridotite, and a pyroxenite are characterized and their defect structures are compared with that of an orthopyronene of a lherzolite from a volcanic xenolith as mentioned in this paper.
Abstract: The defect structure of crustally deformed orthopyroxenes from a dunite, a peridotite, and a pyroxenite are characterized and their defect structures are compared with that of an orthopyroxene of a lherzolite from a volcanic xenolith. The microstructures contained isolated unit dislocations, isolated stacking faults, and Ca-rich, clinopyroxene lamellae. The isolated dislocations have Burgers vectors, b, which were predominantly [001]. The stacking faults have a displacement vector R =1/4[001]. A lamellae consisted of a 1/4 μ wide Ca-rich region bounded by complex dislocation arrays. These lamellae are usually 100 μ or more in length and are nearly parallel to the (100) in the matrix. The dislocations in the boundary regions are spaced about 500 A apart. The lherzolite orthopyroxenes were nearly free of isolated defects, in comparison to the other samples. Annealing at 1390° C for 1 hr produced no detectable recovery of the isolated defects in the orthopyroxene substructure.

Journal ArticleDOI
TL;DR: In this article, a study of the annealing behavior of a cold-orked copper-25 at.% gold alloy over a range of temperature including both the ordered and disordered phases was made.

Journal ArticleDOI
TL;DR: In this paper, the properties of silicon implanted with boron ions through thermal SiO2 films were studied using sheet resistivity measurements (corroborated by Hall data).
Abstract: The properties of silicon implanted with boron ions through thermal SiO2 films were studied using sheet resistivity measurements (corroborated by Hall data). Electrical properties for implants through 0.1 μm of SiO2, as compared to bare silicon, showed no unusual behavior as a function of anneal temperature. Sheet resistivity measurements as a function of SiO2 thickness for fixed ion energy, and as a function of energy for fixed oxide thickness were made after 525 and 925°C anneals, for boron doses of 1013, 1014 and 1015 ions/cm2. The profile of boron ions in SiO2 is near Gaussian for the energy range investigated and the stopping power is 0 to 20% lower than the theoretical value currently in the literature. Considerations for device manufacture are discussed in light of the results.

Journal ArticleDOI
TL;DR: In this article, low-temperature photoluminescence spectra are presented for Si crystals which have been irradiated with high-energy electrons, and correlations of the properties of these bands are made with known Si defects.
Abstract: Low-temperature photoluminescence spectra are presented for Si crystals which have been irradiated with high-energy electrons. Studies of isochronal annealing, stress effects, and the temperature dependences of the luminescence are used to discuss the nature of the luminescent transitions and the properties of defects. Two dominant bands present after room-temperature anneal of irradiated material are discussed, and correlations of the properties of these bands are made with known Si defects. A band between 0.8 and 1.0 eV has properties which are related to those of the divacancy, and a band between 0.6 and 0.8 eV has properties related to those of the Si-G15(K) center. Additional peaks appear in the luminescence after high-temperature anneal; the influence of impurities and the effects of annealing of these lines are discussed.

Journal ArticleDOI
TL;DR: In this paper, a stable defect structure was observed in high-dose (1015 −1016 ions/cm2) arsenic implantations into Si, which resulted from implantations through thin SiO2 films covering the Si.
Abstract: During a study of the annealing of damage produced by high‐dose (1015–1016 ions/cm2) arsenic implantations into Si, a stable high‐defect‐density structure was observed. It resulted from implantations through thin SiO2 films covering the Si. Formation of the stable defect structure is related to the presence of the SiO2 film during implantation, but not during annealing. Subsequent experiments indicate that knock‐on of oxygen by the As ions is not directly responsible for the effect.

Journal ArticleDOI
L. Lassak1, K. Hieber1
TL;DR: In this article, it was shown that at an oxygen partial pressure of 1 x 10-6 torr the TCR became negative and that the films had a structure corresponding to a distorted b.c. Cr lattice, which did not change even after annealing for several hours at 300 °C.

Journal ArticleDOI
TL;DR: In this paper, the effect of the amount of excess volume in glassy poly(ethylene terephthalate) on the mode of failure observed in tensile tests and on the associated level of molecular motion was investigated.
Abstract: To gain further understanding regarding the modes of molecular motion which contribute to the ductility and toughness of glassy polymers, and the factors that influence such molecular mobility, a study has been undertaken of the effect of the amount of excess volume in glassy poly(ethylene terephthalate) on the mode of failure observed in tensile tests and on the associated level of molecular motion. The results of tensile stress-strain studies on films annealed at temperatures below the glass transition temperature indicate that there is a relationship between the mode of failure and the level of excess volume trapped in the glassy polymer. From dynamic mechanical loss studies of the annealed films, however, it is evident that the modes of motion associated with the major subsidiary dynamic mechanical absorption, i.e., the γ-relaxation process, are relatively unaffected by the loss of free volume caused by the densification of the glassy polymer on annealing. Further, these studies suggest that ...

Journal ArticleDOI
TL;DR: In this paper, the nature of the donor centers which are involved in photo-induced changes in permeability in yttrium iron garnet is investigated, in terms of a defect model in which Fe 2+ is compensated by oxygen vacancies or cation interstitials.

Journal ArticleDOI
TL;DR: In this paper, the anomalous properties of a cold sapphire film are attributed to structural disorder and analyzed in terms of a two phase model using a two-phase model.
Abstract: Silver films formed on a cold sapphire substrate and studied prior to annealing exhibit a very different optical absorption from that typical of an annealed silver film. In particular a film formed and studied at 140 K showed a greatly reduced plasmon energy and a large anomalous absorption band centred at 2.4 eV. Annealing the film at RT caused a return to properties typical of an annealed film, although small but distinct differences remained between the Drude behaviour of the two films formed at different temperatures. The anomalous properties of the cold film are ascribed to structural disorder. The behaviour is analysed in terms of a two phase model.

Journal ArticleDOI
TL;DR: In this paper, the formation of the V4-center and the HA-center during thermal annealing in pure and Na+- and Li+-doped KBr, X-irradiated at low temperatures, is studied.

Journal ArticleDOI
TL;DR: The post-irradiation annealing behavior of polycrystalline β-SiC for use as a monitor of irradiation temperature was discussed in this paper, where powder and rods were irradiated to 1.5 × 10 17 to 5.0 × 10 19 n/cm 2 (E > 0.18 MeV).

Patent
14 Mar 1973
TL;DR: In this article, a low energy, high density ion implantation and kinetic transport deposition of refractory or other materials is carried out under very high vacuum conditions, which facilitates formation of large area, highly doped, shallow semiconductor junctions and the associated electrodes.
Abstract: Combined low energy, high density ion implantation and kinetic transport deposition of refractory or other materials is carried out under very high vacuum conditions. The process facilitates formation of large area, highly doped, shallow semiconductor junctions and the associated electrodes. The steps of preimplantation cleaning, ion implantation for junction formation, ohmic contact formation by kinetic transport deposition, and postimplantation anneal all are carried out in the same chamber. Under high vacuum, pre-implantation cleaning and annealing of semi-conductor substrates is accomplished by electron bombardment and concomitant heating from an annular flood filament. Next a conical electron beam vaporizes solid source material within the evacuated chamber, ionizing some of the vaporized particles. The result is a plasma expansion source including ions which are accelerated electrostatically for implantation in the target, and atoms which are kinetically transported toward the target to form an ohmic contact or other metalization layer. Additional build-up of kinetically transported material occurs after ion implantation is complete. Post-implantation annealing is carried out using electron bombardment to heat the targets to above an annealing threshhold for a period of time short enough so that no undesirable diffusion occurs. The substrates then are rapidly forced cooled. Repeating the process using another source permits different refractory materials to be layered. The combined kinetic transport deposition and ionimplantation into the underlying stratum effectively bonds the second material to the previously deposited layer.

Journal ArticleDOI
01 Apr 1973-Polymer
TL;DR: In this paper, a commercial thermoelastic three block copolymer (styrene-isoprene-styrene) has been characterized with regard to its molecular weight, block length and original morphology.

Journal ArticleDOI
TL;DR: In this article, a study of the ordering characteristics within the CuPt system was performed using X-ray diffraction, optical microscopy (in conjunction with polarized light), highvoltage electron microscopy and dilatometry.
Abstract: The present paper is primarily a study of the ordering characteristics within the CuPt system, near the CuPt composition, using X-ray diffraction, optical microscopy (in conjunction with polarized light), high-voltage electron microscopy and dilatometry. In platinum-rich off-stoichiometric alloys, a wide two-phase region consisting of ordered + disordered platelets was established and the phase boundaries were accurately located. For isothermally ordered stoichiometric alloys, in general two categories of diffraction sequences were observed, depending on the annealing temperature. For anneals in the range 620°C

Journal ArticleDOI
TL;DR: In this paper, the anodization of Si in a 1 MHz oxygen plasma is described, and the dependence of ionic current on oxide field strength is found to be dependent on oxide thickness.
Abstract: The anodization of Si in a 1 MHz oxygen plasma is described. In‐situ film thickness measurements were made using a single angle "s" light reflectance technique and this data, coupled with information on the variation of sample voltage with thickness, was used to determine the dependence of ionic current on oxide field strength. The data for a given oxide thickness could be described by an equation of the form given by the theory of ionic conduction by a thermally activated, field‐assisted process. However, the ionic current and, in paticular, the oxide field strength were dependent on oxide thickness. MOS C‐V measurements on oxides of different thickness indicated a change in flatband voltage that could be attributed to a progressive build‐up of positive charge within the oxide. This space charge could be reduced by a low temperature annealing treatment. The oxides were found to be slightly absorbing optically, to have a relative permittivity in the range 3.5–3.9, and to possess good insulation properties as long as the oxide thickness did not exceed about 2500â.

Journal ArticleDOI
TL;DR: In this article, the influence of high temperature annealing and heavy current treatments of porous Pt electrodes was investigated with scanning electron microscopy, and it was demonstrated that both the structure and the catalytic activity are influenced by the treatments.
Abstract: The influence of high temperature annealing and heavy current treatments of porous Pt electrodes is investigated with scanning electron microscopy. The results are discussed on the basis of the kinetic behaviour of these electrodes, known from the literature or deduced from our still unpublished results. It is demonstrated that both the structure and the catalytic activity are influenced by the treatments.

Patent
09 Oct 1973
TL;DR: In this article, a single-oriented electrical steel sheet having a high magnetic induction can be produced by hot rolling a silicon steel raw material containing less than 0.06% of C and less than 4% of Si, subjecting to annealing step and cold rolling step conveniently repeatedly to form a cold rolled steel sheet.
Abstract: Single-oriented electrical steel sheets having a high magnetic induction can be produced by hot rolling a silicon steel raw material containing less than 0.06% of C and less than 4% of Si, subjecting to annealing step and cold rolling step conveniently repeatedly to form a cold rolled steel sheet having a final gauge and subjecting to a decarburization annealing and a final annealing to develop secondary recrystallized grains having (110)[001] orientation, said silicon steel raw material being characterized in containing 0.005-0.200% of Sb and less than 0.10% of at least one of Se and S. Said final annealing for secondary recrystallization at a temperature of 800°-920°C gives a preferable result.