scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 1975"


Journal ArticleDOI
TL;DR: Thor Thornton et al. as discussed by the authors used hollow and post-type cathode sputtering apparatuses at argon pressures of 1 and 30 mTorr to evaluate OFHC copper coatings on copper, tantalum, and stainless steel substrates.
Abstract: Thick [1–10 mil (25.4–254.0 μm)] OFHC copper coatings were deposited on copper, tantalum, and stainless‐steel substrates maintained at temperatures (T) in the 50 °–950 °C range, at rates of from 200 to 18 000 A/min, using primarily hollow and also post‐type cathode sputtering apparatuses at argon pressures of 1 and 30 mTorr. Coating structures were examined by preparing metallographic cross sections. Surface topographies and fracture cross sections were examined by scanning electron microscopy. Crystallographic orientations were determined by x‐ray diffraction. No significant deposition rate influence was found on the low‐temperature structure zones reported previously [J. A. Thornton, J. Vac. Sci. Technol. 11, 666 (1974)] or on the columnar nature of coatings formed at elevated T. Truly equiaxed grain structures were generally not observed with hollow cathodes. Annealing twins were found within the grains for T≳350 °C. Evidence of extensive recrystallization and grain growth was seen for T∠900 °C. Coatin...

405 citations


Journal ArticleDOI
TL;DR: In this article, surface crystallization of a glass sample implanted with 285-keV Au+ ions at 550°C results in the growth of colloidal Au particles of 18-35°A radius.
Abstract: Surface crystallization of a Au+‐ion‐implanted lithia‐alumina‐silica glass has been realized. Annealing of a glass sample implanted with 285‐keV Au+ ions at 550 °C results in the growth of colloidal Au particles of 18–35‐A radius. The Au particles constitute sites for the precipitation of lithium metasilicate crystals at 550 °C. Further annealing at 750 °C allows the growth of quartz and β‐spodumene crystals. The crystallized surface obtained after the high‐temperature anneal was characterized by a Knoop microhardness number of 626, which is of the order of that obtained for commerical volume‐crystallized glass‐ceramics of similar composition.

249 citations


Journal ArticleDOI
TL;DR: In this article, the work functions of the bulk crystals and of silver films formed upon them by auto-epitaxy were determined photoelectrically, and the results support the Smoluchowski correlation of work function with surface atom density.
Abstract: (100) and (110) single crystals of silver were cleaned by electron and argon-ion bombardment in ultra-high vacuum. The work functions of the bulk crystals, and of silver films formed upon them by autoepitaxy, were determined photoelectrically. The bulk crystals had work functions of (4.64 ± 0.02) eV and (4.52 ± 0.02) eV respectively. The effect of the deposition of silver films was to reduce the work function, but continued cycles of deposition and annealing at 500 to 600 K caused the work function to return to a value very close to that of the bulk crystal. An annealed film of silver deposited in stages on a mica substrate at 425 K had a work function of (4.72 ± 0.02) eV, corresponding to that of the (111) silver surface. If the mica remained at room temperature during deposition, the work function was about 4.5 eV. The work function of a thick polycrystalline film of silver on quartz was (4.26 ± 0.02) eV. The results support the Smoluchowski correlation of work function with surface atom density.

223 citations


Journal ArticleDOI
TL;DR: The epitaxial regrowth from amorphous layers created by Si implantation into (100 and (110) Si was found to be linear with time with activation energy of 2.3 eV as discussed by the authors.

210 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that Ni 40 Fe 40 P 14 B 6 (Ni 50 Fe 50 ) alloys with nominal composition of Ni 40Fe 40P 14B 6 are shown to respond to annealing in a magnetic field by reducing the strain-magnetostriction contribution to the anisotropy of straight ribbons.
Abstract: Amorphous alloys with nominal composition of Ni 40 Fe 40 P 14 B 6 are shown to respond to annealing in a magnetic field. Coercive forces are reduced by a factor of 10 to 50 during annealing of straight ribbons to values of 0.003 Oe, as low as ever reported for potentially useful materials. Concurrently the ratio of the magnetization in 1 Oe applied field, to saturation, increases from about 0.5 to 0.95. These changes during annealing correlate with measured stress relief changes. It thus appears that most of the strain-magnetostriction contribution to the anisotropy is removed during annealing. Magnetic annealing at temperatures as low as 100°C results in noticeable changes in properties. From measurements transverse to the magneticaliy induced anisotropy axis, the induced anisotropy is calculated to be about 800 ergs/cm3, considerably smaller than obtained in crystalline Ni 50 Fe 50 . This field-induced anisotropy is reversible in direction and magnitude by reheating the sample to its Curie temperature and then cooling in a field. Annealing of 1.5 cm diameter toroids, made from 50 μm thick tapes, increases the initial permeability by more than a factor of 10 and decreases losses by more than a factor of 10. Losses and permeabilities after heat treatment compare favorably to the Permalloys with similar saturation magnetizations.

181 citations


Journal ArticleDOI
TL;DR: In this article, an Rf sputtering process was used without postdeposition annealing to prepare Sn-doped In/sub 2/O/sub 3/ films with low electrical resistivity (down to 2 x 10/sup -4/ ohm-cm), high visible transmission, and high infrared reflectivity for applications as transparent conductors and heat mirrors.
Abstract: An rf sputtering process was used without postdeposition annealing to prepare Sn-doped In/sub 2/O/sub 3/ films with low electrical resistivity (down to 2 x 10/sup -4/ ohm-cm), high visible transmission, and high infrared reflectivity (up to 93 percent at 10 ..mu..m) for applications as transparent conductors and heat mirrors. Substrate heating is accomplished entirely by the electron bombardment intrinsic to rf sputtering, rather than by using an auxiliary resistance heater. The film properties improve with increasing substrate temperature up to 650/sup 0/C, the maximum employed, and are relatively independent of other sputtering parameters. The electrical and optical properties of the films do not depend significantly on the crystallographic orientation, degree of texture, or substrate material.

174 citations


Journal ArticleDOI
TL;DR: In this article, the growth and electrical properties of CuInS2 thin films are described and two deposition schemes, single and double source methods, are reported and data are presented indicating the effects of film and substrate temperature on the electrical characteristics (mobility, resistivity, and carrier concentration) of the films.
Abstract: The growth and electrical properties of CuInS2 thin films are described Two deposition schemes, single‐ and double‐source methods, are reported Data are presented indicating the effects of film and substrate temperature on the electrical characteristics (mobility, resistivity, and carrier concentration) of the films Both n‐ and p‐type films are reported, and the effects of sulfur concentrations are discussed Some postdeposition annealing effects are also detailed

150 citations


Journal ArticleDOI
TL;DR: The residual disorder caused by different implanted ions after annealing at elevated temperatures (850−1150 °C) is dependent upon the ion species as discussed by the authors, and the damage disorder was quantitatively measured by backscattering and structurally studied using electron microscopy.
Abstract: The residual disorder caused by different implanted ions after annealing at elevated temperatures (850−1150 °C) is dependent upon the ion species. The gettering of Au by damaged layers produced by Ar, O, P, Si, As, and B has been quantitatively compared to gettering by phosphorus diffusion. Each individual comparison was made between opposite surfaces of a Si sample by use of Rutherford backscattering. The damage disorder was quantitatively measured by backscattering and structurally studied using electron microscopy. Typically, an ion dose of 1016/cm2 and ion energy of 200 keV were used for implantations. The Au was present in the Si at ’’moderate’’ levels so that solubility in the phosphorus diffused layers was not exceeded. Ar ion−damaged layers were more effective for gettering Au than were phosphorus−diffused layers below ∼1000 °C and equally effective up to 1150 °C. The relative gettering efficiency of the damage produced by other ions studied was less than that of phosphorus diffusion at 1000 °C and was ranked in the order Ar≳O≳P≳Si≳As≳B. In general, the relative gettering efficiency of ion−damaged layers was related to the amount and type disorder after annealing. The initial disorder was of an amorphous nature for 1016 heavy ions/cm2 (As, Si, P, Ar, or O), and the final disorder state was different for different implanted ions after annealing. It is suggested that the disorder (and gettering efficiency) was influenced by size effects of the implanted ion during annealing.

114 citations


Journal ArticleDOI
TL;DR: In this paper, a new method of forming an anodic native oxide of GaAs with excellent dielectric and interface properties is described, and the oxide is grown in a stable and reproducible manner in an electrolyte which is a suitable mixture of water, weak carboxylic acid (tartaric or citric acid), and polyhydric alcohol.
Abstract: A new method of forming an anodic native oxide of GaAs with excellent dielectric and interface properties is described. The oxide is grown in a very stable and reproducible manner in an electrolyte which is a suitable mixture of (i) water, (ii) weak carboxylic acid (tartaric or citric acid), and (iii) polyhydric alcohol. The breakdown field strength of the as‐grown oxide is 5×106 V/cm and the specific resistivity is 1014–1016 Ω cm. Relatively low temperature annealing in hydrogen results in greatly improved interface properties with a density of fast interface states near midgap of 1–2×1011 cm−2 eV−1, with only a small capacitance/voltage hysteresis, and only small frequency dispersion of capacitance in the accumulation region.

110 citations


Journal ArticleDOI
TL;DR: In this paper, a critical analysis of the observed size effects in all cases depart markedly from the predictions of the Fuchs-Sondheimer theory (and also that of the Mayadas-Shatzkes theory which takes into account the grain boundary surface scattering).
Abstract: The thickness dependence at 300 and 80 K of the electrical resistivity and its temperature coefficient, Hall coefficient, mobility, and thermoelectric power of as‐deposited and annealed thin (< 1000 A) evaporated polycrystalline copper films and films deposited at elevated temperatures have been studied. All transport parameters in carefully prepared and well‐characterized films exhibit monotonically increasing size effects with decreasing film thickness. Both annealing and deposition at elevated temperatures cause considerable reduction of the ’’apparent’’ size effects in all the transport parameters of the room‐temperature deposited films. A critical analysis of the observed size effects shows that the data in all cases depart markedly from the predictions of the Fuchs‐Sondheimer theory (and also that of the Mayadas‐Shatzkes theory which takes into account the grain boundary surface scattering). The departure from theory is different for each transport parameter. The annealing studies show that the enhanced size effects are due to the presence of a large concentration of structural defects in the films. The observed behavior may be understood by assuming the large concentration of point and/or line defects to decrease with film thickness and with annealing as well as deposition of films at elevated temperatures. The thermopower data suggest strongly that the large concentration of defects causes distortion of the Fermi surface and thereby a strong energy dependence of the mfp or relaxation time at the Fermi surface.

89 citations


Journal ArticleDOI
TL;DR: In this article, a metal alloy target of indium doped with about 5% by weight tin was sputtered in 100% oxygen onto quartz substrates and then annealed at temperatures up to 600°C in an argon−flow system.
Abstract: A metal alloy target of indium doped with about 5% by weight tin was rf sputtered in 100% oxygen onto quartz substrates. These films of indium−tin oxide were then annealed at temperatures up to 600°C in an argon−flow system. Their optical and electrical properties were examined as a function of annealing temperature. Optimum film properties include a sheet resistance of 2−3 Ω/⧠ with a transparency of 95% over the entire visible region. Optical extinction coefficients, percent transmission, and refractive indices were determined as a function of annealing temperature and sheet resistance. Results show that the infrared reflection loss of films of indium−tin oxide can be attributed to the amount of oxygen vacancies which are incorporated into the film.

Journal ArticleDOI
TL;DR: In this article, the effect of annealing on the mechanical and thermal properties of polycarbonate resin has been investigated and the previously observed increases in yield strength and decreases in impact strength have been confirmed and it was shown further that annealed polymer shows a larger strain softening effect after yield than untreated material.
Abstract: The effect of annealing on the mechanical and thermal properties of polycarbonate resin has been investigated. The previously observed increases in yield strength and decreases in impact strength have been confirmed and it has been shown further that annealed polymer shows a larger strain softening effect after yield than untreated material. This is reflected in an increased negative slope in the stress-strain curve. After yielding the endothermic D.S.C. peak due to annealing is largely eliminated. The extra mechanical energy required to deform the annealed polymer is similar in magnitude to the thermal energy associated with the D.S.C. peak. The reduction in impact strength during annealing is ascribed to greater plastic instability and to the consequent reduction in volume of the zone of plastic yielding in the impact test. This conclusion is confirmed by the examination of annealed and untreated impact test pieces in polarized light.

Journal ArticleDOI
TL;DR: In this article, semi-elliptical surface flaws were produced in hot-pressed SiC by Knoop microhardness indentation and placed in 4-point bending in order to determine their critical stress intensity factor, KIC, at both room and high temperatures.
Abstract: Controlled semi-elliptical surface flaws were produced in hot-pressed SiC by Knoop microhardness indentation. Flawed specimens were placed in 4-point bending in order to determine their critical stress intensity factor, KIC, at both room and high temperatures. Room-temperature fracture and KIC values after annealing were sensitive to the annealing environment; this behavior correlated with the active/passive nature of the oxidation process. Flaw healing was observed for annealing exposures in air. Room-temperature KIC values increased with increasing annealing temperature. High-temperature KICvalues decreased with increasing temperature as a result of a decrease in the fracture surface energy.

Journal ArticleDOI
TL;DR: In this article, it has been shown that the glass transition temperature of the soft segment, Tgs, is also greatly influenced by annealing treatment and the degree of Tgs shift and higher endothermic effects are correlated with annelaing temperature.
Abstract: Commerical and experimental urethane polymers were studied by thermal‐mechanical methods including DSC and stress‐strain analysis. The studies reveal that following thermal treatment these polymers show time‐dependent mechanical properties. This time‐dependent period may be many days while the degree of this dependence is influenced by annealing temperature. By DSC analysis it has been shown that the glass transition temperature of the soft segment, Tgs, is also greatly influenced by annealing treatment. Immediately following annealing temperatures above 130 °C, Tgs may be as much as 40 °C higher than its ’’long‐time’’ value. With time, however, Tgs decreases to its original value. Higher‐temperature endothermic behavior associated with hard‐segment domains is also time dependent. Both the degree of Tgs shift and higher endothermic effects are correlated with annelaing temperature. The time‐dependent behavior for a given annealing temperature has been related to to chemical structure (ester vs ether, and hydrogen bonding effects). The data are explained in terms of the thermal stability of domains.

Journal ArticleDOI
TL;DR: In this paper, the majority carriers in deriving relations for doping density as well as distance from the semiconductor surface, in terms of the space charge capacitance and its derivative with respect to the surface potential, are considered.
Abstract: A new method has been developed by which the doping profile of the semiconductor can be obtained right up to its surface. Contrary to the well known dC/dV-method, which is valid only in the depletion range, we have considered the majority carriers in deriving relations for doping density as well as distance from the semiconductor surface, in terms of the space charge capacitance and its derivative with respect to the surface potential. This method can be realized experimentally on any MIS structure. Measurements on MOS capacitors with steam grown oxides showed a drooping profile towards the SiSiO2 interface for boron doped and a rising one for phosphorus doped silicon. Post-oxidation annealing in dry nitrogen at 1200°C produced a uniform profile for phosphorus doped silicon.

Journal ArticleDOI
TL;DR: In the annealed state the films have a large component of magnetization normal to the film plane with typical remanence-to-saturation ratios of 0.8 and coercivities of 8 kOe perpendicular to the plane as mentioned in this paper.
Abstract: Platinum‐cobalt thin films were prepared by rf sputtering with substrate biasing to contol composition. Contrary to what was expected from equilibrium bulk properties, films deposited at room temperature have the fcc structure, in‐plane magnetization, and low coercivity. At deposition temperatures above about 500 °C the coercivity increases and x‐ray analysis indicates some tetragonal phase. Annealing at 600 °C causes rapid conversion to the tetragonal phase. In the annealed state the films have a large component of magnetization normal to the film plane with typical remanence‐to‐saturation ratios of 0.8 and coercivities of 8 kOe perpendicular to the film plane. The saturation Faraday rotation of annealed films is about 5×105 deg/cm in the visible and near ir. Curie temperatures are in the range 270–430 °C for compositions with 35–44 at.% cobalt. Dynamic read‐write experiments show that this material is an attractive candidate for digital magneto‐optic recording. Problems due to the high Curie‐point and h...

Journal ArticleDOI
TL;DR: In this paper, a Cu-40 at. % Zr alloy was splat cooled into a non-crystalline structure and the crystallization behavior of this alloy was studied by calorimetry, transmission electron microscopy, and hardness testing.

Patent
09 Apr 1975
TL;DR: In this article, the instant disclosure teaches a process for obtaining an improved combination of strength and bend properties in copper base alloys having low stacking fault energy, characterized by a critical combination of cold reduction and annealing following recrystallization.
Abstract: The instant disclosure teaches a process for obtaining an improved combination of strength and bend properties in copper base alloys having low stacking fault energy. The process is characterized by a critical combination of cold reduction and annealing following recrystallization.

Journal ArticleDOI
TL;DR: In this article, it was observed that growing recrystallised grains could sometimes ‘catalyse’ nucleation of other grains when they impinged upon certain subgrains.

Journal ArticleDOI
TL;DR: In this article, the defect structures remaining in Si wafers after implantation of O+ and As+ ions together with subsequent annealing treatment have been studied using the transmission electron microscope.
Abstract: The defect structures remaining in Si wafers after implantation of O+ and As+ ions together with subsequent annealing treatment have been studied using the transmission electron microscope. For implantation of 1016 150‐keV As+/cm2 through 430 A of SiO2 and subsequent annealing at 1000 °C in dry N2, the resulting defect structures show many similarities to those obtained following a 1–2×1016 10‐keV O+/cm2 implantation and annealing sequence. However, if 150‐keV As+ ions are implanted into bare Si, the general lattice disorder remaining after annealing is much smaller and the residual defects are much more widely spaced. The differences between the residual defects produced by the different As+ implantations are interpreted with the proposal that the recoil of oxygen from SiO2 is an important by‐product of implantations through SiO2 layers.

01 Jul 1975
TL;DR: In this article, the annealing characteristics of very thin particulate deposits of silver on amorphized clean surfaces of single-crystalline thin graphite substrates have been studied by in situ transmission electron microscopy (TEM) under controlled environmental conditions (residual gas pressure of 10 -9 torr) in the temperature range from 25° to 450°C.
Abstract: Abstract New direct evidence is reported for the simultaneous occurrence of Ostwald ripening and short distance cluster mobility during annealing of discontinuous metal films on clean amorphous substrates. The annealing characteristics of very thin particulate deposits of silver on amorphized clean surfaces of single-crystalline thin graphite substrates have been studied by in situ transmission electron microscopy (TEM) under controlled environmental conditions (residual gas pressure of 10 -9 torr) in the temperature range from 25° to 450°C. It was possible to monitor all stages of the experiments ( i.e. sputter cleaning of the substrate surface, metal deposition and annealing) by TEM observation of the same specimen area. Various techniques ( e.g. pseudo-stereographic presentation of micrographs in different annealing stages, the observation of the annealing behavior at cast shadow edges, and measurements with an electronic image analyzing system) were employed to aid the visual perception and the analysis of changes in deposit structure recorded during annealing. Slow Ostwald ripening was found to occur over the entire temperature range but the overriding surface transport mechanism was short distance cluster mobility. This was concluded from in situ observations of individual particles during annealing and from measurements of cluster size distributions, cluster number densities, area coverages and mean cluster diameters.

Patent
18 Sep 1975
TL;DR: In this article, a high density W--Ni--Fe alloy of composition 85-96% by weight W and the remainder Ni and Fe in a wt. ratio of 5:5-8:2 having enhanced mechanical properties is prepared by compacting the mixed powders, sintering the compact in reducing atmosphere to near theoretical density followed by further sinting at a temperature where a liquid phase is present, vacuum annealing, and cold working to achieve high uniform hardness.
Abstract: A high density W--Ni--Fe alloy of composition 85-96% by weight W and the remainder Ni and Fe in a wt. ratio of 5:5-8:2 having enhanced mechanical properties is prepared by compacting the mixed powders, sintering the compact in reducing atmosphere to near theoretical density followed by further sintering at a temperature where a liquid phase is present, vacuum annealing, and cold working to achieve high uniform hardness.

Journal ArticleDOI
TL;DR: In this paper, the influence of pretreatments like sputtering, annealing and cleaving on the surface composition of a binary alloy system with 100, 95, 80, 60, 50, 40, 20, 5, and 0 at% Ag is discussed.

Journal ArticleDOI
TL;DR: In this article, the storage of positive charge in the SiO2 insulator of MIS devices has been studied at both 300 and 80 K and it has been found that additional charge is stored in the oxide as a result of low-temperature x irradiation and behaves differently from that induced by room−temperature irradiation.
Abstract: The storage of positive charge in the SiO2 insulator of MIS devices has been studied at both 300 and 80 K. It has been found that additional charge is stored in the oxide as a result of low−temperature x irradiation and behaves differently from that induced by room−temperature irradiation. This additional charge may be removed from the oxide by photodepopulation techniques, field emission, and thermal annealing. The portion of the charge which is present at both 300 and 80 K is shown to be insensitve to these treatments under the same experimental conditions. The experimental data indicate that the observed behavior is not due to positive ion transport within the oxide and strongly suggests that hole transport is occurring. Models for the trapping sites and the role of surface states are discussed.

Journal ArticleDOI
TL;DR: In this paper, the influence of dilute concentrations of both Au and Ag atoms on the stage I and stage I1 annealing structure in copper has been investigated using electrical resistivity measurements.
Abstract: The influence of dilute concentrations of both Au and Ag atoms on the stage I and stage I1 annealing structure in copper has been investigated using electrical resistivity measurements. An excellent agreement of the stage Id and I, experimental data with the theoretical predictions of the SimpsonJosin full diffusional theory is obtained; this analysis determines the capture radius of solute Au atoms in Cu to be 1.7 ± 0.1 I.c. and that of solute Ag atoms in Cu to be 1.8 ± 0.1 I.c. (in comparison with an interstitial-vacancy capture radius of 3.2 1.c.). Four well-defined recovery substages are observed in the dilute alloys during stage I1 recovery. An interpretation of each of these substages, consistent with experimental results, is presented. The following processes were observed to occur during stage 11: The release of trapped interstitial atoms from trapping centers formed by the proximity of a gold atom near a vacancy; the rearrangement of trapped interstitials to new trapping positions at imp...

Journal ArticleDOI
TL;DR: In this paper, the three different interface state annealing processes widely used in MOS technology have been investigated using both quasistatic capacitance-voltage measurements and MOST characteristics.
Abstract: The three different interface state annealing processes widely used in MOS technology have been investigated using both quasistatic capacitance-voltage measurements and MOST characteristics. It appears that annealing in a hydrogen-nitrogen and a wet nitrogen ambient is found to produce a more rapid reduction of the interface state density. The latter process is, however, more likely to cause ionic contamination of the oxide. For the achievement of the minimum interface state density with any of the three annealing treatments a 1000 degrees C anneal in dry nitrogen after oxidation is found to be essential.

Patent
24 Apr 1975
TL;DR: In this article, a grain-oriented electromagnetic steel sheet is produced by subjecting a sheet of hot-rolled steel containing silicon less than 4.5% to more than one operation of cold rolling and more than another operation of annealing, so as to set the thickness of said sheet to that of a commercially standard sheet, and also subjecting the sheet to the step of final high-temperature annaling.
Abstract: A method of producing a grain-oriented electromagnetic steel sheet comprising the step of subjecting a sheet of hot-rolled steel containing silicon less than 4.5% to more than one operation of cold rolling and more than one operation of annealing, so as to set the thickness of said sheet to that of a commercially standard sheet, and also subjecting the sheet to the step of final high-temperature annealing. Prior to said final high-temperature annealing, part of the surface of said sheet is worked for controlling the growth of secondary recrystallization grains by working the sheets such that lines of less than 3.0 mm wide are formed on the surface of the steel sheet spaced apart at intervals of more than 5.0 mm so that the total effect is to produce worked and unworked regions alternatively arranged in lines across the steel sheet. Such working is effected by mechanical plastic working, local thermal treatment and by chemical treatment. The worked regions serve to control the growth of secondary recrystallization.

Journal ArticleDOI
TL;DR: In this article, it was shown that at constant flow stress, the amount of energy stored depends on crystal orientation and the product of stored energy E s · Θ II was found to be independent of orientation.

Journal ArticleDOI
TL;DR: In this article, the location of implanted nitrogen in the silicon lattice has been investigated by using the 15N(p,α)12C nuclear reaction together with channeling techniques.
Abstract: The radiation damage and implanted atom location properties of nitrogen−implanted silicon have been studied. Helium−ion backscattering has been used to measure the damage for samples implanted at various doses and annealed to temperatures as high as 900 °C. The location of the implanted nitrogen in the silicon lattice has been investigated by using the 15N(p,α)12C nuclear reaction together with channeling techniques. The results indicate that ≳90% of the implanted atoms are located in nonsubstitutional positions in the silicon lattice, and that the implanted nitrogen has not outdiffused for anneals to 1185 °C. The results presented here will be used in Paper II to help explain many of the observed electrical porperties of nitrogen−implanted silicon.

Journal ArticleDOI
TL;DR: This analysis proves the presence of repulsive forces between the nuclei, and it is suggested that the inhibition of coalescence at low annealing temperatures (Ta<443 K) is partly due to these repulsion forces between nuclei.
Abstract: The radial distribution of gold nuclei obtained by evaporation in U.H.V. onto a (100)KCl substrate is measured, and is analysed in terms of parameters such as the mean density ρ0 and the mean diameter ⟨d⟩ of nuclei. This analysis proves the presence of repulsive forces between the nuclei. Some results of numerical calculations are also given, from which these forces may be evaluated quantitatively. It is suggested that the inhibition of coalescence at low annealing temperatures (Ta<443 K) is partly due to these repulsive forces between nuclei.