scispace - formally typeset
Search or ask a question

Showing papers on "Lithography published in 2021"


Journal ArticleDOI
TL;DR: In this article, up-to-date flexible strain sensors fabricated via 3D printing are highlighted, focusing on different printing methods based on photocuring and materials extrusion, including Digital Light Processing (DLP), fused deposition modeling (FDM), and direct ink writing (DIW).
Abstract: The revolutionary and pioneering advancements of flexible electronics provide the boundless potential to become one of the leading trends in the exploitation of wearable devices and electronic skin. Working as substantial intermediates for the collection of external mechanical signals, flexible strain sensors that get intensive attention are regarded as indispensable components in flexible integrated electronic systems. Compared with conventional preparation methods including complicated lithography and transfer printing, 3D printing technology is utilized to manufacture various flexible strain sensors owing to the low processing cost, superior fabrication accuracy, and satisfactory production efficiency. Herein, up-to-date flexible strain sensors fabricated via 3D printing are highlighted, focusing on different printing methods based on photocuring and materials extrusion, including Digital Light Processing (DLP), fused deposition modeling (FDM), and direct ink writing (DIW). Sensing mechanisms of 3D printed strain sensors are also discussed. Furthermore, the existing bottlenecks and future prospects are provided for further progressing research.

133 citations


Journal ArticleDOI
TL;DR: Direct X-ray and electron-beam lithography at sub-50-nm resolution of MOFs is demonstrated that leaves porosity and crystallinity intact and approaches the mesopore regime.
Abstract: Metal–organic frameworks (MOFs) offer disruptive potential in micro- and optoelectronics because of the unique properties of these microporous materials. Nanoscale patterning is a fundamental step in the implementation of MOFs in miniaturized solid-state devices. Conventional MOF patterning methods suffer from low resolution and poorly defined pattern edges. Here, we demonstrate the resist-free, direct X-ray and electron-beam lithography of MOFs. This process avoids etching damage and contamination and leaves the porosity and crystallinity of the patterned MOFs intact. The resulting high-quality patterns have excellent sub-50-nm resolution, and approach the mesopore regime. The compatibility of X-ray and electron-beam lithography with existing micro- and nanofabrication processes will facilitate the integration of MOFs in miniaturized devices. The low dielectric constants and high porosity of MOFs are of interest for applications in electronics and sensors, but patterning techniques for these materials are in their infancy. Here, direct X-ray and electron-beam lithography at sub-50-nm resolution are reported that leave porosity and crystallinity intact.

82 citations


Journal ArticleDOI
TL;DR: In this paper, a shape memory polymer (SMP) photoresist based on Vero Clear achieving print features at a resolution of ~300nm half pitch using two-photon polymerization lithography (TPL).
Abstract: Four-dimensional (4D) printing of shape memory polymer (SMP) imparts time responsive properties to 3D structures. Here, we explore 4D printing of a SMP in the submicron length scale, extending its applications to nanophononics. We report a new SMP photoresist based on Vero Clear achieving print features at a resolution of ~300 nm half pitch using two-photon polymerization lithography (TPL). Prints consisting of grids with size-tunable multi-colours enabled the study of shape memory effects to achieve large visual shifts through nanoscale structure deformation. As the nanostructures are flattened, the colours and printed information become invisible. Remarkably, the shape memory effect recovers the original surface morphology of the nanostructures along with its structural colour within seconds of heating above its glass transition temperature. The high-resolution printing and excellent reversibility in both microtopography and optical properties promises a platform for temperature-sensitive labels, information hiding for anti-counterfeiting, and tunable photonic devices. Four-dimensional (4D) printing of shape memory polymer (SMP) imparts time responsive properties to 3D structures. Here, the authors explore 4D printing of a SMP in the submicron length scale, extending its applications to nanophononics.

74 citations


Journal ArticleDOI
TL;DR: Two-photon lithography (TPL) is one such unique microfabrication technique exploiting the nonlinear dependency of the polymerization rate on the irradiating light intensity to produce true three-dimensional structures with feature sizes beyond the diffraction limit as discussed by the authors.
Abstract: With the advent of femtosecond lasers in the early 1990s, ultrafast laser processing has proven to be an imperative tool for micro/nano machining. Two-photon lithography (TPL) is one such unique microfabrication technique exploiting the nonlinear dependency of the polymerization rate on the irradiating light intensity to produce true three-dimensional structures with feature sizes beyond the diffraction limit. This characteristic has revolutionized laser material processing for the fabrication of micro and nanostructures. In this paper, an overview of TPL including its working principle, experimental setup, and materials is presented. Then, the effect of resolution with a focus on techniques adopted to improve the final resolution of the structures is covered. Insights to improve throughput and speed of fabrication to pave a way for industrialization of this technique are provided. Finally, TPL for microfabrication of structures with the emphasis on metamaterials is thoroughly reviewed and presented.

55 citations


Journal ArticleDOI
TL;DR: In this article, a review of various nano-imprint lithography (NIL) technologies for the manufacturing of active metasurfaces is presented, where the authors briefly describe conventional NIL and then present various NIL methods for the scalable fabrication of active surfaces.
Abstract: Metasurfaces are composed of periodic sub-wavelength nanostructures and exhibit optical properties that are not found in nature. They have been widely investigated for optical applications such as holograms, wavefront shaping, and structural color printing, however, electron-beam lithography is not suitable to produce large-area metasurfaces because of the high fabrication cost and low productivity. Although alternative optical technologies, such as holographic lithography and plasmonic lithography, can overcome these drawbacks, such methods are still constrained by the optical diffraction limit. To break through this fundamental problem, mechanical nanopatterning processes have been actively studied in many fields, with nanoimprint lithography (NIL) coming to the forefront. Since NIL replicates the nanopattern of the mold regardless of the diffraction limit, NIL can achieve sufficiently high productivity and patterning resolution, giving rise to an explosive development in the fabrication of metasurfaces. In this review, we focus on various NIL technologies for the manufacturing of metasurfaces. First, we briefly describe conventional NIL and then present various NIL methods for the scalable fabrication of metasurfaces. We also discuss recent applications of NIL in the realization of metasurfaces. Finally, we conclude with an outlook on each method and suggest perspectives for future research on the high-throughput fabrication of active metasurfaces.

46 citations


Posted Content
TL;DR: Micro-scale computed axial lithography (micro-CAL) of fused silica components, by tomographically illuminating a photopolymer-silica nanocomposite which is then sintered, is proposed in this paper.
Abstract: Glass is increasingly desired as a material for manufacturing complex microscopic geometries, from the micro-optics in compact consumer products to microfluidic systems for chemical synthesis and biological analyses. As the size, geometric, surface roughness, and mechanical strength requirements of glass evolve, conventional processing methods are challenged. We introduce microscale computed axial lithography (micro-CAL) of fused silica components, by tomographically illuminating a photopolymer-silica nanocomposite which is then sintered. We fabricated 3D microfluidics with internal diameters of 150 micrometers, freeform micro-optical elements with surface roughness of 6 nm, and complex high-strength trusses and lattice structures with minimum feature sizes of 50 micrometers. As a high-speed, layer-free digital light manufacturing process, micro-CAL can process extremely viscous nanocomposites with high geometric freedom, enabling new device structures and applications.

44 citations



Journal ArticleDOI
TL;DR: In this paper, the authors discuss the recent advances in top-down nanofabrication methods towards single-digit-nanometer-sized structures and discuss state-of-the-art applications for sub-10 nm nanophotonics such as optical trapping or sensing devices, imaging devices, and electronic devices.
Abstract: Sub-10 nm nanostructures have received broad interest for their intriguing nano-optical phenomena, such as extreme field localization and enhancement, quantum tunneling effect, and strong coupling. The range of cutting-edge applications based on single-digit-nanometer scale structures has expanded with the development of nanofabrication technologies. However, challenges still remain in overcoming fabrication limits, such as scalability, controllability, and reproducibility for further practical applications of the sub-10 nm nanostructures. In this review, we discuss the recent advances in top-down nanofabrication methods towards single-digit-nanometer-sized structures. The well-known examples include electron beam lithography (EBL), focused ion beam (FIB) milling or lithography, atomic layer deposition (ALD), and other unconventional techniques to obtain sub-10 nm nanostructures or nanogaps. We discuss state-of-the-art applications for sub-10 nm nanophotonics such as optical trapping or sensing devices, imaging devices, and electronic devices.

32 citations


Journal ArticleDOI
26 Jan 2021-ACS Nano
TL;DR: In this paper, a large-scale nanoribboned field effect transistor (FET) biosensors with high sensitivity to a broad range of biological targets are demonstrated.
Abstract: Wafer-scale nanoribbon field-effect transistor (FET) biosensors fabricated by straightforward top-down processes are demonstrated as sensing platforms with high sensitivity to a broad range of biological targets. Nanoribbons with 350 nm widths (700 nm pitch) were patterned by chemical lift-off lithography using high-throughput, low-cost commercial digital versatile disks (DVDs) as masters. Lift-off lithography was also used to pattern ribbons with 2 μm or 20 μm widths (4 or 40 μm pitches, respectively) using masters fabricated by photolithography. For all widths, highly aligned, quasi-one-dimensional (1D) ribbon arrays were produced over centimeter length scales by sputtering to deposit 20 nm thin-film In2O3 as the semiconductor. Compared to 20 μm wide microribbons, FET sensors with 350 nm wide nanoribbons showed higher sensitivity to pH over a broad range (pH 5 to 10). Nanoribbon FETs functionalized with a serotonin-specific aptamer demonstrated larger responses to equimolar serotonin in high ionic strength buffer than those of microribbon FETs. Field-effect transistors with 350 nm wide nanoribbons functionalized with single-stranded DNA showed greater sensitivity to detecting complementary DNA hybridization vs 20 μm microribbon FETs. In all, we illustrate facile fabrication and use of large-area, uniform In2O3 nanoribbon FETs for ion, small-molecule, and oligonucleotide detection where higher surface-to-volume ratios translate to better detection sensitivities.

32 citations


Journal ArticleDOI
TL;DR: In this paper, shadow walls are used to implement topological qubits in an InSb nanowire without fabrication steps such as lithography and etching, which can preserve the integrity and homogeneity of the fragile hybrid interfaces.
Abstract: The realization of hybrid superconductor–semiconductor quantum devices, in particular a topological qubit, calls for advanced techniques to readily and reproducibly engineer induced superconductivity in semiconductor nanowires. Here, we introduce an on-chip fabrication paradigm based on shadow walls that offers substantial advances in device quality and reproducibility. It allows for the implementation of hybrid quantum devices and ultimately topological qubits while eliminating fabrication steps such as lithography and etching. This is critical to preserve the integrity and homogeneity of the fragile hybrid interfaces. The approach simplifies the reproducible fabrication of devices with a hard induced superconducting gap and ballistic normal-/superconductor junctions. Large gate-tunable supercurrents and high-order multiple Andreev reflections manifest the exceptional coherence of the resulting nanowire Josephson junctions. Our approach enables the realization of 3-terminal devices, where zero-bias conductance peaks emerge in a magnetic field concurrently at both boundaries of the one-dimensional hybrids. Advanced fabrication techniques enable a wide range of quantum devices, such as the realization of a topological qubit. Here, the authors introduce an on-chip fabrication technique based on shadow walls to implement topological qubits in an InSb nanowire without fabrication steps such as lithography and etching.

30 citations


Journal ArticleDOI
TL;DR: A thermally robust titanium nitride broadband absorber with >95% absorption efficiency in the visible and near-infrared region (400–900 nm) and the optical properties of the absorber are still maintained even after heating at the temperatures >600 ∘C.
Abstract: Broadband perfect absorbers have been intensively researched for decades because of their near-perfect absorption optical property that can be applied to diverse applications. Unfortunately, achieving large-scale and heat-tolerant absorbers has been remained challenging work because of costly and time-consuming lithography methods and thermolability of materials, respectively. Here, we demonstrate a thermally robust titanium nitride broadband absorber with >95% absorption efficiency in the visible and near-infrared region (400-900 nm). A relatively large-scale (2.5 cm × 2.5 cm) absorber device is fabricated by using a fabrication technique of multiple-patterning colloidal lithography. The optical properties of the absorber are still maintained even after heating at the temperatures >600 ∘C. Such a large-scale, heat-tolerant, and broadband near-perfect absorber will provide further useful applications in solar thermophotovoltaics, stealth, and absorption controlling in high-temperature conditions.

Journal ArticleDOI
25 Jun 2021-ACS Nano
TL;DR: In this article, a dual-layer photolithography (DLPL) approach is proposed for nanoscale patterning, which is based on the controlled exposure and development of overlapping positive and negative photoresists.
Abstract: Conventional photolithography, due to its scalability, robustness, and straightforward processes, has been widely applied to micro- and nanostructure manufacturing in electronics, optics, and biology. However, optical diffraction limits the ultimate resolution of conventional photolithography, which hinders its potential in nanoscale patterning for broader applications. Here, we introduce a derivative of conventional photolithography for nanoscale patterning called dual-layer photolithography (DLPL), which is based on the controlled exposure and development of overlapping positive and negative photoresists. In a typical experiment, substrates are sequentially coated by two layers of photoresists (both positive and negative). Then, we purposefully control the exposure time to generate slightly larger features in the positive photoresist than those in the negative photoresist. After development, their overlapping areas become the final features, which outline the original features. We demonstrate line widths down to 300 nm here, which can be readily improved with more precise control. By adjusting the lithography parameters and material deposition, the feature sizes, shapes (e.g., rings, numbers, letters), line widths (300-900 nm), and materials (e.g., SiO2, Cr, and Ag) of these features can be independently controlled. Combined with anisotropic etching, more complex three-dimensional nanostructures can be fabricated as well, as we demonstrate here with Si. We further fabricate photodetectors as an example application to show that these nanostructures fabricated by DLPL can be used to promote light-trapping MAPbI3 perovskite films to achieve good photoelectric properties. This strategy is not limited to ultraviolet photolithography and may also be incorporated into other energetic beam-based lithographic approaches, including deep and extreme ultraviolet photolithographies and electron beam lithography, to enhance their resolution.

Journal ArticleDOI
TL;DR: In this article, a DNA modular epitaxy approach was used to fabricate 3D DNA masks with prescribed structural parameters (geometry, pitch and critical dimensions) along a designer assembly pathway.
Abstract: Lithographic scaling of periodic three-dimensional patterns is critical for advancing scalable nanomanufacturing. Current state-of-the-art quadruple patterning or extreme-ultraviolet lithography produce a line pitch down to around 30 nm, which might be further scaled to sub-20 nm through complex post-fabrication processes. Herein, we report the use of three-dimensional (3D) DNA nanostructures to scale the line pitch down to 16.2 nm, around 50% smaller than state-of-the-art results. We use a DNA modular epitaxy approach to fabricate 3D DNA masks with prescribed structural parameters (geometry, pitch and critical dimensions) along a designer assembly pathway. Single-run reactive ion etching then transfers the DNA patterns to a Si substrate at a lateral critical dimension of 7 nm and a vertical critical dimension of 2 nm. The nanolithography guided by DNA modular epitaxy achieves a smaller pitch than the projected values for advanced technology nodes in field-effect transistors, and provides a potential complement to the existing lithographic tools for advanced 3D nanomanufacturing. Epitaxially grown 3D DNA masks with prescribed geometry, pitch and size improve the resolution of reactive ion etching-based nanolithography, scaling the line pitch down to 16.2 nm and the critical dimension size to 7.2 nm.

Proceedings ArticleDOI
26 Feb 2021
TL;DR: This work has integrated the developed EUV MOx process in a relevant iN7 technology test vehicle by developing a full P28 metallization module with ruthenium, and conducted a process feasibility study as P28 in single print is close to the resolution limit of the 0.33NA EUV full field scanner.
Abstract: For many years traditional 193i lithography has been extended to the next technology node by means of multi-patterning techniques. However recently such a 193i technology became challenging and expensive to push beyond the technology node for complex features that can be tackled in a simpler manner by the Extreme UltraViolet Lithography (EUVL) technology. Nowadays, EUVL is part of the high-volume manufacturing device landscape and it has reached a critical decision point where one can push further the single print on 0.33NA full field scanner or move to a EUV double patterning technology with more relaxed pitches to overcome current 0.33NA stochastic limits. In this work we have selected the 28nm pitch dense line-space (P28) as critical decision check point. We have looked at the 0.33NA EUV single print because it is more cost effective than 0.33NA EUV double patterning. In addition, we have conducted a process feasibility study as P28 in single print is close to the resolution limit of the 0.33NA EUV full field scanner. We present the process results on 28nm dense line-space patterning by using Inpria’s metal-oxide (MOx) EUV resist. We discuss the lithographic and etching process challenges by looking at resist sensitivity, unbiased line edge roughness (LER) and nano patterning failures after etching (AE), using broad band plasma (BBP) and e-beam (EB) defectivity inspection tools. To get further understanding on the P28 single patterning capability we have integrated the developed EUV MOx process in a relevant iN7 technology test vehicle by developing a full P28 metallization module with ruthenium. In such a way we were able to carry on electrical tests on metallized serpentine, fork-fork and tip-to-tip structures designed with a purpose of enabling further learning on pattern failures through electrical measurements. Finally, we conclude by showing the readiness of P28 single exposure using Inpria’s MOx process on a 0.33NA EUV full field scanner.

Proceedings ArticleDOI
22 Feb 2021
TL;DR: An update on the performance improvements to further optimize these systems for High Volume Manufacturing (HVM), related to the lithographic performance, productivity and uptime, and the ASML roadmap for meeting the requirements for the 3 nm node and beyond is given.
Abstract: In 2019 we have seen the first 7 nm logic devices, manufactured on ASML NXE:3400 scanners, hitting the market. In this paper we will give an update on the performance improvements to further optimize these systems for High Volume Manufacturing (HVM), related to the lithographic performance, productivity and uptime. We will also demonstrate that for the 5 nm logic node and 10nm-class DRAM, excellent overlay, focus, and critical dimension (CD) control have been realized. In combination with intrinsic tool stability and holistic control schemes, including (resist and tool) performance improvements addressing stochastics issues, this provides the required performance for HVM for these nodes. Finally we will discuss the ASML roadmap for meeting the requirements for the 3 nm node and beyond.

Journal ArticleDOI
TL;DR: In this paper, the authors introduce the concept of ion exchange lithography and demonstrate spatially controlled patterning of electrically insulating films and semiconductors with tunable optoelectronic properties.
Abstract: Patterning materials with different properties in a single film is a fundamental challenge and essential for the development of next-generation (opto)electronic functional components. This work introduces the concept of ion exchange lithography and demonstrates spatially controlled patterning of electrically insulating films and semiconductors with tunable optoelectronic properties. In ion exchange lithography, a reactive nanoparticle "canvas" is locally converted by printing ion exchange "inks." To demonstrate the proof of principle, a canvas of insulating nanoporous lead carbonate is spatioselectively converted into semiconducting lead halide perovskites by contact printing an ion exchange precursor ink of methylammonium and formamidinium halides. By selecting the composition of the ink, the photoluminescence wavelength of the perovskite semiconductors is tunable over the entire visible spectrum. A broad palette of conversion inks can be applied on the reactive film by printing with customizable stamp designs, spray-painting with stencils, and painting with a brush to inscribe well-defined patterns with tunable optoelectronic properties in the same canvas. Moreover, the optoelectronic properties of the converted canvas are exploited to fabricate a green light-emitting diode (LED), demonstrating the functionality potential of ion exchange lithography.

Journal ArticleDOI
Hui Yang1, Sa Cai1, Yifei Zhang1, Dongping Wu1, Xiaosheng Fang1 
TL;DR: In this article, an e-beam lithography-free method to fabricate MoS2 FETs by employing maze-like source/drain electrodes was introduced, and an ohmic contact was achieved without annealing.
Abstract: Molybdenum disulfide (MoS2) as a two-dimensional semiconductor material has been actively explored for field-effect-transistors (FETs). The current prevailing method for MoS2 FET fabrication involves multiple complex steps, including electron beam (e-beam) lithography, annealing, etc., which are time-consuming and require polymer resists. As a consequence, the MoS2 exposed to chemicals during the patterning process may be unfavorably affected by residues and the performance of the final FET could be impaired while the annealing limits materials for FETs. Therefore, there is an urgent need to free the fabrication of FETs from e-beam lithography and annealing. In this study, we introduce an e-beam lithography-free method to fabricate MoS2 FETs by employing maze-like source/drain electrodes. In addition, an ohmic contact in multilayer MoS2 FETs using chromium (Cr) as source/drain electrodes is achieved without annealing. The underlying mechanism for contact performance is studied, and the tightness of the contact and the type of metal are found to be responsible because they determine the contact resistance. Furthermore, the long-term device degradation is explored, in which the oxidation of metal dominates. The facile fabrication process and mechanism explanation in this work might provide a new platform for future electronic devices.

Journal ArticleDOI
TL;DR: A new, simpler approach to image-computation that algebraically optimizes a model of the printed object is introduced, significantly improving print accuracy of complex parts under imperfect material and optical precision by improving optical dose contrast between the target and surrounding regions.
Abstract: Volumetric 3D printing motivated by computed axial lithography enables rapid printing of homogeneous parts but requires a high dimensionality gradient-descent optimization to calculate image sets. Here we introduce a new, simpler approach to image-computation that algebraically optimizes a model of the printed object, significantly improving print accuracy of complex parts under imperfect material and optical precision by improving optical dose contrast between the target and surrounding regions. Quality metrics for volumetric printing are defined and shown to be significantly improved by the new algorithm. The approach is extended beyond binary printing to grayscale control of conversion to enable functionally graded materials. The flexibility of the technique is digitally demonstrated with realistic projector point spread functions, printing around occluding structures, printing with restricted angular range, and incorporation of materials chemistry such as inhibition. Finally, simulations show that the method facilitates new printing modalities such as printing into flat, rather than cylindrical packages to extend the applications of volumetric printing.

Journal ArticleDOI
22 Jan 2021
TL;DR: This work presents a highly parallel fabrication method dubbed biotemplated lithography of inorganic nanostructures (BLIN) that enables large-scale versatile substrate patterning of metallic and semi-metallic nanostructure designs.
Abstract: Here, we present a highly parallel fabrication method dubbed biotemplated lithography of inorganic nanostructures (BLIN) that enables large-scale versatile substrate patterning of metallic and semi...

Journal ArticleDOI
TL;DR: In this article, a new route to assemble rectangular lattices of microgels at near zero surface pressure relying on the balance between attractive quadrupolar capillary interactions and steric repulsion among the particles at water/oil interfaces is presented.
Abstract: Understanding and engineering the self-assembly of soft colloidal particles (microgels) at liquid–liquid interfaces is broadening their use in colloidal lithography. Here, we present a new route to assemble rectangular lattices of microgels at near zero surface pressure relying on the balance between attractive quadrupolar capillary interactions and steric repulsion among the particles at water/oil interfaces. These self-assembled rectangular lattices are obtained for a broad range of particles and, after deposition, can be used as lithography masks to obtain regular arrays of vertically aligned nanowires via wet and dry etching processes.

Journal ArticleDOI
TL;DR: In this article, a new type of photonic platforms, based on a versatile, laser based prototyping approach onto micrometric size, optical fiber tapers, while exhibiting unique guiding and modal interaction characteristics, for departing the laboratory bench, while being implemented into diverse types of sensing and actuating devices.
Abstract: Multi-photon lithography -a powerful laser nanoscale additive-manufacturing method- is employed for structuring micro-ring traveling-wave resonators onto micrometric diameter, optical fiber tapers. These weakly guided, micro-ring resonating structures achieve light circulation with Q-factors of the order of ∼2.0 × 103, for typical diameters of tens of micrometers, in the spectral band of 1550 nm. The parametrization of the fabrication process, the characterization of these structures in TE and TM polarization, and the numerical simulation of their spectral performance is presented and analyzed. Moreover, these micro-ring resonators are exemplified into the demonstration of an ethanol vapor sensor, readily achieving detectivities of 0.5 ppm, based on reversible physisorption effects. Our demonstration aims at developing a new type of photonic platforms, based on a versatile, laser based prototyping approach onto micrometric size, optical fiber tapers, while exhibiting unique guiding and modal interaction characteristics, for departing the laboratory bench, while being implemented into diverse types of sensing and actuating devices.

Journal ArticleDOI
TL;DR: In this article, a spatiotemporal modulation projection lithography (STPL) technology is proposed to fabricate a microstructure with smooth edges, accurate linewidth, and accurate line position.
Abstract: In this paper, we propose spatiotemporal modulation projection lithography (STPL) technology, which is a spatiotemporal modulation technology applied to the conventional digital micromirror device (DMD) projection lithography system. Through coordinating the micro-movement of the piezoelectric stage, the flexible pattern generation of DMD, and the exposure time, the proposed STPL enables us to fabricate a microstructure with smooth edges, accurate linewidth, and accurate line position. Further application on fabricating a diffraction lens has been implemented. The edge sawtooth of the Fresnel zone plate fabricated by using the STPL is reduced to 0.3 µm, the error between the actual measured linewidth and the ideal linewidth is only within ±0.1µm, and the focal length is 15 mm, which is basically consistent with the designed focal length. These results indicated that STPL can serve a significant role in the micromanufacturing field for achieving high-fidelity microdevices.

Journal ArticleDOI
13 Jul 2021-ACS Nano
TL;DR: In this paper, the top-down patterning with self-assembled colloidal nanoparticles is replaced with a bottom-up patterning, which takes the manufacturing complexity of topdown lithography out of the equation.
Abstract: DNA origami has emerged as a powerful molecular breadboard with nanometer resolution that can integrate the world of bottom-up (bio)chemistry with large-scale, macroscopic devices created by top-down lithography. Substituting the top-down patterning with self-assembled colloidal nanoparticles now takes the manufacturing complexity of top-down lithography out of the equation. As a result, the deterministic positioning of single molecules or nanoscale objects on macroscopic arrays is benchtop ready and easily accessible.

Journal ArticleDOI
21 May 2021-Small
TL;DR: Nanospheres lithography (NSL) is an economical technique, which makes use of highly monodispersed nanospheres such as deposition or etch masks for generating patterns with nanoscale features as discussed by the authors.
Abstract: Nanospheres lithography (NSL) is an economical technique, which makes use of highly monodispersed nanospheres such as deposition or etch masks for generating patterns with nanoscale features. Embedding nanostructures into organic electronic devices can endow them with unique capabilities and enhanced performance, which have greatly advanced the development of organic electronics. In this review, a brief summary of the methods for the preparation of monodispersed nanospheres is presented. Afterward, the authors highlight the recent advances of a wide variety of applications of nanospheres lithography in organic electronic devices. Finally, the challenges in this field are pointed out, and the future development of this field is discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate a process having the capability to realize single-digit nanometer lithography using single heavy ions, using 2.15 GeV 86Kr26+ ions as the exposure source and hydrogen silsesquioxane (HSQ) as a negative-tone inorganic resist.
Abstract: In this work, we demonstrate a process having the capability to realize single-digit nanometer lithography using single heavy ions. By adopting 2.15 GeV 86Kr26+ ions as the exposure source and hydrogen silsesquioxane (HSQ) as a negative-tone inorganic resist, ultrahigh-aspect-ratio nanofilaments with sub-5 nm feature size, following the trajectory of single heavy ions, were reliably obtained. Control experiments and simulation analysis indicate that the high-resolution capabilities of both HSQ resist and the heavy ions contribute the sub-5 nm fabrication result. Our work on the one hand provides a robust evidence that single heavy ions have the potential for single-digit nanometer lithography and on the other hand proves the capability of inorganic resists for reliable sub-5 nm patterning. Along with the further development of heavy-ion technology, their ultimate patterning resolution is supposed to be more accessible for device prototyping and resist evaluation at the single-digit nanometer scale.


Journal ArticleDOI
TL;DR: In this article, a 3D focal-area interference field was induced by a single ultrafast laser in transparent dielectrics, and a new approach of self-organized phase-transition lithography (SOPTL) was proposed to achieve super-resolution construction of embedded all-inorganic photonic textures with extremely high efficiency.
Abstract: Realizing general processing applicable to various materials by one basic tool has long been considered a distant dream. Fortunately, ultrafast laser–matter interaction has emerged as a highly universal platform with unprecedented optical phenomena and provided implementation paths for advanced manufacturing with novel functionalities. Here, we report the establishment of a three-dimensional (3D) focal-area interference field actively induced by a single ultrafast laser in transparent dielectrics. Relying on this, we demonstrate a radically new approach of self-organized phase-transition lithography (SOPTL) to achieve super-resolution construction of embedded all-inorganic photonic textures with extremely high efficiency. The generated textures exhibit a tunable photonic bandgap (PBG) in a wide range from ~1.3 to ~2 μm. More complicated interlaced textures with adjustable structural features can be fabricated within a few seconds, which is not attainable with any other conventional techniques. Evidence suggests that the SOPTL is extendable to more than one material system. This study augments light–matter interaction physics, offers a promising approach for constructing robust photonic devices, and opens up a new research direction in advanced lithography.

Journal ArticleDOI
TL;DR: In this article, a maskless optical projection nanolithography (MLOP-NL) technique was proposed for efficient cross-scale patterning, achieving a minimum feature size of 32 nm, which is λ/12 super resolution breaking the optical diffraction limit.
Abstract: The emerging demand for device miniaturization and integration prompts the patterning technique of micronano-cross-scale structures as an urgent desire. Lithography, as a sufficient patterning technique, has been playing an important role in achieving functional micronanoscale structures for decades. As a promising alternative, we have proposed and demonstrated the maskless optical projection nanolithography (MLOP-NL) technique for efficient cross-scale patterning. A minimum feature size of 32 nm, which is λ/12 super resolution breaking the optical diffraction limit, has been achieved by a single exposure. Furthermore, multiscale two-dimensional micronano-hybrid structures with the size over hundreds of micrometers and the precision at tens of nanometers have been fabricated by simply controlling the exposure conditions. The proposed MLOP-NL technique provides a powerful tool for achieving cross-scale patterning with both large-scale and precise configuration with high efficiency, which can be potentially used in the fabrication of multiscale integrated microsystems.

Posted Content
TL;DR: In this article, the authors demonstrate power-efficient, thermo-optic, silicon nitride waveguide phase shifters for blue, green, and yellow wavelengths, which operate with low power consumption due to a suspended structure and multi-pass waveguide design.
Abstract: We demonstrate power-efficient, thermo-optic, silicon nitride waveguide phase shifters for blue, green, and yellow wavelengths. The phase shifters operated with low power consumption due to a suspended structure and multi-pass waveguide design. The devices were fabricated on 200-mm silicon wafers using deep ultraviolet lithography as part of an active visible-light integrated photonics platform. The measured power consumption to achieve a $\pi$ phase shift (averaged over multiple devices) was 0.78, 0.93, 1.09, and 1.20 mW at wavelengths of 445, 488, 532, and 561 nm, respectively. The phase shifters were integrated into Mach-Zehnder interferometer switches, and $10- 90$\% rise(fall) times of about 570(590) $\mu$s were measured.

Journal ArticleDOI
22 Jan 2021
TL;DR: In this paper, an approach for nanoscale patterning of zinc oxide (ZnO) using electron beam (e-beam) lithography for future nanoelectronic devices and for hard lithographic masks is presented.
Abstract: An approach is presented for nanoscale patterning of zinc oxide (ZnO) using electron beam (e-beam) lithography for future nanoelectronic devices and for hard lithographic masks. Zinc acetate (Zn4O(...