scispace - formally typeset
Search or ask a question

Showing papers on "Blisters published in 2018"


Journal ArticleDOI
TL;DR: A simple scaling law and a rigorous theoretical model is developed for liquid-filled nanoblisters, which predicts that the interfacial work of adhesion is related to the fourth power of the aspect ratio of the nanoblister and depends on the surface tension of the liquid.
Abstract: Layered systems of 2D crystals and heterostructures are widely explored for new physics and devices In many cases, monolayer or few-layer 2D crystals are transferred to a target substrate including other 2D crystals, and nanometer-scale blisters form spontaneously between the 2D crystal and its substrate Such nanoblisters are often recognized as an indicator of good adhesion, but there is no consensus on the contents inside the blisters While gas-filled blisters have been modeled and measured by bulge tests, applying such models to spontaneously formed nanoblisters yielded unrealistically low adhesion energy values between the 2D crystal and its substrate Typically, gas-filled blisters are fully deflated within hours or days In contrast, we found that the height of the spontaneously formed nanoblisters dropped only by 20-30% after 3 mo, indicating that probably liquid instead of gas is trapped in them We therefore developed a simple scaling law and a rigorous theoretical model for liquid-filled nanoblisters, which predicts that the interfacial work of adhesion is related to the fourth power of the aspect ratio of the nanoblister and depends on the surface tension of the liquid Our model was verified by molecular dynamics simulations, and the adhesion energy values obtained for the measured nanoblisters are in good agreement with those reported in the literature This model can be applied to estimate the pressure inside the nanoblisters and the work of adhesion for a variety of 2D interfaces, which provides important implications for the fabrication and deformability of 2D heterostructures and devices

110 citations


Journal ArticleDOI
TL;DR: In this paper, the expansion of the newly formed blister by fluid injection occurs via a displacement flow, which peels apart the adhered surfaces through a two-way interaction between flow and deformation.
Abstract: Blistering occurs when a thin solid layer locally separates from an underlying substrate through cracking of a bulk material, delamination of a composite material, or peeling of a membrane adhered to the substrate by a thin layer of viscous fluid. In this last scenario, the expansion of the newly formed blister by fluid injection occurs via a displacement flow, which peels apart the adhered surfaces through a two-way interaction between flow and deformation. Such blisters are prone to fluid and solid mechanical instabilities. If the injected fluid is less viscous than the fluid already occupying the gap, patterns of short and stubby fingers form on the propagating fluid interface. This process is regulated by membrane compliance, which if increased delays the onset of fingering to higher flow rates and reduces finger amplitude. Suppression is mediated by the locally tapered geometry of the blister near the fluid interface, which is imposed by the underlying blistering flow. Buckling/wrinkling instabilitie...

46 citations


Journal ArticleDOI
TL;DR: In this paper, an ultrasonic treatment was used to accelerate the breakage rate of adhesive bonding and molecular forces between the layers of packaging composite, eventually delaminating all layers as received (aluminum, plastic, etc.) or in the form of residues (printing ink and solidified polymer).

44 citations


Journal ArticleDOI
TL;DR: In this paper, a combination of experiments, theoretical modeling, and finite element simulation is explored to explore the mechanics governing the formation and evolution of periodic buckle-delamination on both micro-and macro-scale by bonding a thin film to an extremely pre-strained soft elastomeric substrate over 400%.
Abstract: Through a combination of experiments, theoretical modeling, and finite element simulation, we explore the mechanics governing the formation and evolution of periodic buckle-delamination on both micro- and macro-scale by bonding a thin film to an extremely pre-strained soft elastomeric substrate over 400%. We find that upon the large substrate pre-strain release, the deformation in the film follows a three-stage deformation regime, i.e. onset of localized blisters (Stage I), growth through delamination crack propagation to form periodic sinusoidal blisters (Stage II), and transition to post-buckled jig-saw-like blisters under fixed-end compression after crack arrest (Stage III). Related energy-based mechanics models on predicting the evolution and geometry of periodic blisters under moderate and large compression are developed and validated through both experiments and finite element simulation. Finally, we discuss the potential applications of harnessing spontaneous buckle-delamination for interfacial toughness measurement through the metrology of blisters, as well as design of extremely stretchable electronics by achieving an extremely lower value of maximum tensile strain in the buckle-delaminated film.

37 citations


Journal ArticleDOI
TL;DR: In this paper, surface blistering and deuterium retention of heavy ion pre-irradiated (1 dpa) tungsten (W) exposed to low-energy (40 eV) and high-flux (1-2×1022 D/m2s) D plasma has been investigated with low fluence of 0.1

24 citations


Journal ArticleDOI
23 Jan 2018
TL;DR: In this article, the effect of the proton flux magnitude on the degradation of native metal oxide layers and its consequences for hydrogen blisters was examined, and it was found that intense proton irradiation increases the permeability of aluminium oxide layers for hydrogen atoms, thereby counteracting hydrogen blister formation.
Abstract: Metallic surfaces, exposed to a proton flux, start to degradate by molecular hydrogen blisters. These are created by recombination of protons with metal electrons. Continued irradiation progresses blistering, which is undesired for many technical applications. In this work, the effect of the proton flux magnitude onto the degradation of native metal oxide layers and its consequences for blister formation has been examined. To study this phenomenon, we performed proton irradiation experiments of aluminium surfaces. The proton kinetic energy was chosen so that all recombined hydrogen is trapped within the metal structure. As a result, we discovered that intense proton irradiation increases the permeability of aluminium oxide layers for hydrogen atoms, thereby counteracting blister formation. These findings may improve the understanding of the hydrogen blistering process, are valid for all metals kept under terrestrial ambient conditions, and important for the design of proton irradiation tests.

21 citations


Journal ArticleDOI
TL;DR: In this article, the authors developed the idea and demonstrate that the pressure and the concentrations of the gases inside a blister can be inferred from its actual dimensions and depth location by using simulations based on Finite Element Method (FEM) modelling.

14 citations


Journal ArticleDOI
11 Dec 2018
TL;DR: Results reveal ease of applicability of the Raman laser for the imaging and texturing of graphene pointing toward the possibility of the desirable and cost-effective laser writing at the submicron scale by tuning photochemistry of graphene which is pivotal for numerous applications.
Abstract: A simple procedure of producing three-dimensional blisters of graphene through irradiation of the visible range laser by Raman spectrometer has been presented. Fabrication of different volumes of the blisters and their characterization were carried out with Raman spectroscopy by tuning the irradiation dose. The produced blisters showed a consistency in altitude and a remarkable change in functionality, adhesion force map and local contact potential difference as compared to untreated monolayer graphene and naturally occurred graphene nanobubbles. Nevertheless, bilayer graphene is unaffected in the applied laser doses. The laser irradiation led to lattice expansion of carbon atoms and introduced oxygenic functional groups with the structural disorder. The internal pressure of the gaseous molecules was evaluated by monitoring the shape of the graphene blisters and nanobubbles. High-resolution Raman mapping showed the impact of laser-affected area and the defect density (nd) is reported as a function of disp...

14 citations


Journal ArticleDOI
TL;DR: In this article, the authors studied the required Δt for blisters in 1mM H2SO4 electrolyte and showed that Δt is almost unchanged if the electrolyte is further diluted.

14 citations



Journal ArticleDOI
TL;DR: Cupping induced blisters contain several proteins which relate to the activation of certain immune pathways including anti-oxidation, anti-apoptosis, tissue repairing and metabolic regulation.

Journal ArticleDOI
TL;DR: In this article, a tungsten sample for transmission electron microscopy with deuterium plasma at 230 K was irradiated and blisters were found in the electron-transparent region close to the central perforation.
Abstract: By irradiating a tungsten sample for transmission electron microscopy with deuterium plasma at 230 K, we created a high density of blisters below the plasma-exposed surface. Blisters were even found in the electron-transparent region close to the central perforation. We determined the abundance of blisters depending on the local sample thickness and found that no blisters were formed for thicknesses below about 45 nm for these specific plasma exposure conditions. After removing the approximately 10 nm thick, defect-rich layer at the plasma-exposed surface, which corresponds roughly to the ion implantation zone, by electrochemical polishing, we were able to clearly identify material distortions and dislocation network halos corresponding to blisters by scanning transmission electron microscopy. Compared with unirradiated tungsten of the same grade, we found that in the blister zone the average dislocation density is about 2 orders of magnitude higher, which may, in addition to gas enclosed in blister cavities, explain enhanced deuterium retention in blistered tungsten samples. The proof-of-principle experiments described in this article have the potential to provide constraints for theoretical models for blister nucleation. They also pave the way for direct investigations of the spatial correlation of deuterium-plasma-induced blisters and intrinsic defects.

Journal ArticleDOI
TL;DR: In this paper, the formation of tungsten blisters at the surface was investigated using SEM, AFM and EBSD to determine the size, the distribution and the orientation of grain where blisters are formed, respectively.
Abstract: This work deals with the formation kinetic of tungsten (W) blisters under smooth plasma conditions, i.e. low hydrogen flux and energy in order to analyze the first stages of their formation. In addition, we focus on determining the W grain orientation where blisters grow preferentially. For this purpose, mirror-polished polycrystalline tungsten samples were exposed to hydrogen plasma under fixed hydrogen flux of 2.2 × 1020 m−2 s−1, with a fluence in the range of ~ 1024 m−2, ion energy of ~ 20, 120 and 220 eV, and sample surface temperature of ~ 500 K. The formation of blisters at the surface was investigated using SEM, AFM and EBSD to determine the size, the distribution and the orientation of grain where blisters are formed, respectively. The critical fluence for initiating blisters was established around 2.3 × 1024 m−2. The evolution of blister size distribution and density is discussed as function of fluence and ion energy. At lower ion energy, i.e. 20 eV, only nanoblisters (less than 150 nm) are observed whatever the fluence value (1.5 and 2.3 × 1024 m−2). At higher ion energy i.e. 120 and 220 eV, micrometric (~ few to tens of µm) blisters are observed and their density highly depends on fluence. We show that blisters can also be formed on (001) oriented grains contrarily to previous results from the literature where the (111) orientation seemed more favorable. Such information is of importance for tungsten based fusion tokamak operation and design.

Journal ArticleDOI
TL;DR: Suction blister grafting is a technique where the pigmented epidermis is harvested from the donor site by induction of a blister using different suction methods as syringes, Chinese cups, suction device, etc, however, pain, time consumption, incomplete blister formation, and failure of blister development are the main limitations.
Abstract: Background Suction blister grafting (SBG) is a technique where the pigmented epidermis is harvested from the donor site by induction of a blister using different suction methods as syringes, Chinese cups, suction device, etc. However, pain, time consumption, incomplete blister formation, and failure of blister development are the main limitations. Objective To compare between cups and syringes of similar diameter in inducing suction blisters. Patients and methods In 30 patients with stable nonsegmental vitiligo, 2-cm-diameter (20 ml) syringe was applied on the anterolateral aspect of one thigh and a 2-cm-diameter cup on the corresponding site of the other thigh where right and left sides were chosen randomly. Patients were observed untill complete blister development or for a maximum of 3 hours. Suction blister induction time (SBIT) and the blister diameter were recorded for each patient. Pain during the process of induction was evaluated. Results Incomplete blister development was noted in 9 out of 30 (30%) with 2 cm syringes and 6 out of 30 (20%) with the similar diameter cups with no significant difference (P = 0.49). No significant difference was found between SBIT induced by the 2 cm syringes and the similar size cups (101.17 ± 68.14 minutes, 98 ± 56.84 minutes, respectively) (P = 0.85). Meanwhile, blister diameter induced by either syringe or cup was not significantly different (P = 0.37). Anesthesia was for short duration with xylocaine, and pain was intolerable in both sides in the first seven patients. A combination of xylocaine and bupivacaine was used with prolonged loss of pain in 17 of the remaining 23 patients and tolerable pain in six patients similarly in both sides. Conclusion According to present results, the differences in SIBT, diameter of blisters, and number of complete blister formation induced by either syringes or cups of similar size were not significant. Therefore, whatever the available and feasible technique for the surgeon will be the ideal choice. A combination of xylocaine and bupivacaine is recommended to overcome the accompanying pain of the procedure.

Journal ArticleDOI
TL;DR: This work proposes a novel method which is potentially well compatible with high end technological applications: single-walled carbon nanotubes first deposited on the flat surface of a supporting wafer, which has been pre-implanted with H+ and He+ ions, are deformed in a controlled and repetitive manner over blisters formed after subsequent thermal annealing.
Abstract: Tuning the band structure and, in particular, gap opening in 1D and 2D materials through their deformation is a promising approach for their application in modern semiconductor devices. However, there is an essential breach between existing laboratory scale methods applied for deformation of low-dimensional materials and the needs of large-scale production. In this work, we propose a novel method which is potentially well compatible with high end technological applications: single-walled carbon nanotubes (SWCNTs) first deposited on the flat surface of a supporting wafer, which has been pre-implanted with H+ and He+ ions, are deformed in a controlled and repetitive manner over blisters formed after subsequent thermal annealing. By using resonant Raman spectroscopy, we demonstrate that the SWCNTs clamped by metallic stripes at their ends are deformed over blisters to an average tensile strain of 0.15 ± 0.03%, which is found to be in a good agreement with the value calculated taking into account blister's dimensions. The principle of the technique may be applied to other 1D and 2D materials in perspective.

Journal ArticleDOI
TL;DR: In this article, the electron and hole states can be confined in this graphene quantum blisters (GQB) by applying a global electrostatic bias, and the electronic properties of these confined states under the variation of interlayer bias, coupling, and blister's size.
Abstract: Bilayer graphene samples may exhibit regions where the two layers are locally delaminated forming a so-called quantum blister in the graphene sheet. Electron and hole states can be confined in this graphene quantum blisters (GQB) by applying a global electrostatic bias. We scrutinize the electronic properties of these confined states under the variation of interlayer bias, coupling, and blister's size. The spectra display strong anti-crossings due to the coupling of the confined states on upper and lower layers inside the blister. These spectra are layer localized where the respective confined states reside on either layer or equally distributed. For finite angular momentum, this layer localization can be at the edge of the blister and corresponds to degenerate modes of opposite momenta. Furthermore, the energy levels in GQB exhibit electron-hole symmetry that is sensitive to the electrostatic bias. Finally, we demonstrate that confinement in GQB persists even in the presence of a variation in the inter-layer coupling.

Journal ArticleDOI
TL;DR: In this paper, an improved blister test method is developed to accurately study the blister deformation under different environmental conditions, which helps to determine effective measures to eliminate the blister in bridge deck pavement.

Journal ArticleDOI
TL;DR: This work investigated the surface morphologies and microstructures of single crystal 6H-SiC irradiated by one or both of H2+ and He+ ions at room temperature and then annealed at specific temperatures to find out the formation of blisters and circular ripples.
Abstract: Light ion implantation is one of the important procedures of smart cut for SiC-based semiconductor fabrication. This work investigated the surface morphologies and microstructures of single crystal 6H-SiC irradiated by one or both of H2+ and He+ ions at room temperature and then annealed at specific temperatures. Blisters evolved from the coalescence of H nanocracks were formed in the H2+ and He++H2+ irradiated sample surface, while circular ripples originated from the pressure release of helium bubbles after high temperature annealing were formed in the He+ irradiated sample surface. The lateral radius a of the blisters in the irradiated sample with low H2+ fluence was larger than that in the irradiated sample with high H2+ fluence and with He++H2+ ions. About 8–58% of implanted H atoms contributed to the formation of the blisters. Compared with other irradiated samples, the ratio of w0/a and the density of the blisters in the He++H2+ irradiated samples were largest. The stress field of the blisters was simulated using finite element method and the inner pressure in the blisters was also calculated. The corresponding mechanism was analyzed and discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the impact of intrinsic stress on blister formation in multilayers by varying the Si thickness between 3.4-11 nm and changing the hydrogen ion exposure conditions.
Abstract: A Mo/Si multilayer film may blister under hydrogen exposure. In this paper, we investigate the impact of intrinsic stress on blister formation in multilayers by varying the Si thickness between 3.4-11 nm and changing the hydrogen ion exposure conditions. Increasing the thickness of a-Si is found to introduce a higher average compressive stress in the multilayer film. Measurements of the average film stress before and after hydrogen exposure did not reveal a correlation between stress relaxation and the observation of surface blisters. Comparing the experimentally observed blister size distribution to that predicted by elastic models of blistering due to pressure, and thin film buckling showed that increasing hydrogen pressure under the blister cap is the main cause of the observed blisters. It is also shown that hydrogen diffusion plays an essential role in the blister formation process as sufficient hydrogen is required to pressurize the blister.

Journal ArticleDOI
29 Oct 2018-Cureus
TL;DR: Preoperatively, circumferential negative pressure wound therapy with sterile saline instillation (NPWT-id) was used to treat two patients with closed fractures who had developed significant skin blistering, resulting in near complete re-epithelialization of the decompressed blister beds within one week.
Abstract: High- and low-energy fractures can result in nearby skin blistering. These so-called "fracture blisters" can be troublesome in the face of surgery and currently no uniform consensus regarding their management exists. Preoperatively, we used circumferential negative pressure wound therapy with sterile saline instillation (NPWT-id) to treat two patients with closed fractures who had developed significant skin blistering. This technique resulted in near complete re-epithelialization of the decompressed blister beds within one week. Furthermore, no excessive surgical delay or alteration in surgical approach was necessary, and both patients healed successfully without post-operative wound complications. Thus, circumferential NPWT-id may be a worthwhile treatment option for fracture blisters.

Journal ArticleDOI
TL;DR: In this paper, polycrystalline tungsten samples were separated into two groups for study, and the thick samples were implanted by 18 keV H3+ ions to fluences of 1.
Abstract: Tungsten (W) has been regarded as one of the most promising plasma facing materials (PFMs) in fusion reactors. The formation of bubbles and blisters during hydrogen (H) irradiation will affect the properties of W. The dependence of implantation conditions, such as fluence and energy, is therefore of great interest. In this work, polycrystalline tungsten samples were separated into two groups for study. The thick samples were implanted by 18 keV H3+ ions to fluences of 1 × 1018, 1 × 1019 and 1 × 1020 H+/cm2, respectively. Another thick sample was also implanted by 80 keV H2+ ions to a fluence of 2 × 1017 H+/cm2 for comparison. Moreover, the thin samples were implanted by 18 keV H3+ ions to fluences of 9.38 × 1016, 1.88 × 1017 and 5.63 × 1017 H+/cm2, respectively. Focused ion beam (FIB) combined with scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used for micro-structure analysis, while time-of-flight ion mass spectrometry (ToF-SIMS) was used to characterize the H...

Journal ArticleDOI
TL;DR: In this article, a commercially pure titanium grade 2 was implanted with nitrogen ions with a fluence of 1·1018 cm−2 and an accelerating voltage of 90kV.
Abstract: Commercially pure titanium grade 2 was implanted with nitrogen ions with a fluence of 1·1018 cm−2 and an accelerating voltage of 90 kV Surface blisters, a typical sign of oversaturation, were found to be sputtered without re-forming Massive cracking of the surface layer and the formation of surface pores were observed Self-ordered pores covered the entire surface, including the area of sputtered blisters Due to the surface defects, the implanted titanium surface had lower corrosion resistance than non-implanted titanium

Journal ArticleDOI
TL;DR: In this paper, the evolution of indestructible blistering in molybdenum foils with the Mo {100} texture was investigated in dc glow discharge in a D2-N2 mixture with a nitrogen molar fraction in the mixture varying from zero to unity at 100 V potential negative with respect to plasma, a total pressure of 15 Pa, and temperatures of 30-60°C.
Abstract: The evolution of indestructible blistering in molybdenum foils with the Mo {100} texture is investigated in dc glow discharge in a D2–N2 mixture with a nitrogen molar fraction in the mixture varying from zero to unity at 100 V potential negative with respect to plasma, a total pressure of 15 Pa, and temperatures of 30–60°C. After the addition of 0.01N2 to the deuterium discharge, the surface area occupied by the blisters increases from 2 to 5% and reaches its maximum of 11% upon exposure to D2−0.04N2 mixture discharge (the fluence is 4 × 1019 cm–2). Afterward, the area decreases, and blistering is absent in the pure N2 discharge. The amount of deuterium desorbed from the samples upon heating also increases with the addition of nitrogen. In accordance with X-ray photoelectron spectroscopy data, a nitride layer about 5 nm thick is formed if small amounts of N2 are added to D2. This layer is assumed to slow both the recombination rate of atomic deuterium coming from the material bulk to the surface and the transfer of D2 molecules into the gas phase. At the same time, the nitride layer increases the diffusion flux of D atoms into the foil bulk, promoting blister growth.

Journal ArticleDOI
TL;DR: In this paper, an X-ray inspection approach was investigated for inhalation blisters having a significantly smaller powder fill mass of 2.8% using a low-energy Xray imaging system.
Abstract: Dry powders packaged in aluminum foil blisters are an increasingly common dosage form found in inhalation drug products. Filling of inhalation blisters often involves compressing bulk aerated powder into dense compacts. Sealed blisters are conditioned, e.g., by ultrasonic vibration, to loosen the consolidated powder within, to enable ready dispersion to a respirable aerosol when actuated by an inhaler device. Currently, the presence of residual powder consolidation within the blister is monitored manually by cutting open blisters for visual inspection. X-ray imaging has gained increased acceptance as a non-destructive analytical technique for pharmaceutical capsules and tablets, typically with masses on the order of ~ 100 mg. Here, an X-ray inspection approach was investigated for inhalation blisters having a significantly smaller powder fill mass of 2 mg. The challenge of sensing a small powder mass (2 mg) packaged within a significantly heavier blister (~ 75 mg) was met using a low-energy X-ray imaging system. The measurement principle relies on denser, consolidated powder appearing as darker regions in the recorded image. Proof-of-concept experiments were performed using empty blister strips, and blister strips filled with 2 mg of placebo powder, half of which were subjected to ultrasonic conditioning. The tests demonstrated that a supervised machine learning approach based on digitally processed X-ray images reliably distinguished between the three types of blisters tested, i.e., empty blisters and conditioned and un-conditioned blisters of 2-mg fill mass. Using independent training and validation sets of 948 images each, an automated classification accuracy ≥ 99.8% was demonstrated.

Journal ArticleDOI
TL;DR: Friction, shear forces and moisture between the human skin and textiles are important factors affecting skin injuries such as blisters, abrasions and decubitus ulcers.
Abstract: Friction, shear forces and moisture between the human skin and textiles are important factors affecting skin injuries such as blisters, abrasions and decubitus ulcers. Whilst much research has been...

Journal ArticleDOI
TL;DR: In this article, the authors investigated the dependence of He ion energy on Si blistering characteristics by sequential implantation of H and He ions into Si in various sequences, and found that the threshold temperatures for blister and crater formation are highly correlated with the He ion ion energy and implantation sequence.
Abstract: As compared to the conventional smart-cut technology based on single H ion implantation, sequential implantation of H and He ions has been demonstrated to be effective in reducing the implantation fluence and the thermal budget needed for Si layer splitting process. However, the sequential implantation method involves complicated process parameters such as ion energy and implantation sequence. The purpose of this study is to clarify the dependence of He ion energy on Si blistering characteristics by sequential implantation of H and He ions into Si in various sequences. The accelerated energy of H ions was fixed at 40 keV, while that of He ions was changed to 30, 50, and 70 keV. Both the implantation fluences of H and He ions were 1 × 1016 cm−2. The non-isothermal and isothermal annealing methods in combination with an in-situ optical microscopy (OM) detection system were adopted to determine the threshold temperatures and the onset times for blister and crater formation as well as to examine the thermal evolution of blisters and craters. The results revealed that the threshold temperatures for blister and crater formation are highly correlative to the He ion energy and implantation sequence. Higher He ion energy is preferable to blistering for the specimens first implanted with He ions, but the opposite is true for the reverse implantation sequence. This statement can be also supported by the activation energy levels required for blister and crater formation obtained from kinetics analysis. In addition, the variation of hydrogenated complexes induced by sequential implantation and annealing can be evidently identified from the Raman spectra, showing a noticeable transformation of H-related defect complexes from VH3 to Si(100):H bonding configuration due to the emergence of blisters and craters.

Journal ArticleDOI
TL;DR: In this article, numerical simulation method was used to analyze the conditions, resulting in the formation of blisters during solution heat treatment, and the effects of process parameters on the magnitude of the forming temperatures of blister (Tb) were discussed.

Journal ArticleDOI
TL;DR: Alpha-defensins 1-3 are potential biomarkers for BP and can be used to differentiate between blisters caused by BP and those caused by physical injuries, according to a modern mass spectrometric method developed for screening biomarkers in blister fluids collected from patients.

Proceedings ArticleDOI
16 May 2018
TL;DR: In this paper, the laser damage behaviors of indium tin oxide (ITO) single-layer and polyimide (PI) on ITO bi-layer were investigated.
Abstract: Quasi-CW laser damage behaviors of indium tin oxide (ITO) single-layer and polyimide (PI) on ITO bi-layer were investigated. The ITO single-layer with thickness of 25nm was deposited on fused silica substrate by magnetron sputtering, and the PI/ITO bi-layer was prepared by spin coating 80nm PI film on the 25nm ITO single-layer. Single-shot, with radiation time of 120 seconds, laser induced damage threshold (LIDT) of the samples were determined according to ISO 21254. The damage morphologies were mapped by optical profiler. It showed interesting phenomena that the PI top layer increased LIDT of the sample. The typical damage morphologies were blisters, and the height of the blisters increased as the laser power density increases. The formation and evolution of the blisters were analyzed.

DissertationDOI
02 May 2018
TL;DR: In this paper, the formation of nanometre sized blisters in Mo/Si multilayer structures is investigated under the controlled exposure of low energy (<200eV) hydrogen ions and radicals.
Abstract: Blister formation is explored in heterogeneous, layered materials composed of molybdenum-silicon layered structures. These can function as Bragg reflectors in the soft X-Ray or XUV optical range of the electromagnetic spectrum. Examples of these systems can be found in synchrotrons, telescopes and free electron lasers. In this thesis the formation of nanometre sized blisters in Mo/Si multilayer structures is investigated under the controlled exposure of low energy (<200eV) hydrogen ions and radicals. To be able to predict the conditions of blister formation, a model describing the growth and stability of hydrogen induced blisters by elastic deformation under hydrogen pressure was established. Experimentally observed blister sizes were compared with expected blister sizes from the blister model and good agreement was found. Besides hydrogen pressure, the influence of intrinsic stress in multilayers on the blister formation was investigated. It was found that increasing hydrogen pressure under the blister cap is the main cause of the observed blisters in the Mo/Si multilayers.