scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1989"


Book
01 Jan 1989
TL;DR: A brief history of resists negative photoresists can be found in this paper, where a review of photophysics and photochemistry in solid polymers photoinitiated polymerization positive resists based on diazonaphthoquinones is presented.
Abstract: A brief history of resists negative photoresists aspects of photophysics and photochemistry in solid polymers photoinitiated polymerization positive resists based on diazonaphthoquinones the rudiments of imaging science deep-UV lithography electron beam lithography X-ray and ion beam lithographies multilayer techniques and plasma processing.

220 citations


Journal ArticleDOI
TL;DR: In this article, the use of electrically conducting polyanilines as discharge layers for electron-beam (e-beam) lithography is described, where the conductivity of the poly-aniline interlayers is investigated.
Abstract: This paper describes the use of electrically conducting polyanilines as discharge layers for electron‐beam (e‐beam) lithography. The emeraldine oxidation state polyaniline is a soluble material which can be doped by various cationic reagents, most commonly protonic acids, to afford conductivity on the order of 10° Ω−1 cm−1. The conducting polyanilines are incorporated as thin interlayers (2000 A) in a multilayer resist system consisting of a planarizing underlayer (2.8 μm) and the imaging resist (1.2 μm) on top. We find that various acid‐treated polyanilines eliminate charging during e‐beam patterning of the resist, i.e., zero pattern displacements are observed as compared to the case where a conducting interlayer is not incorporated into the resist system. In the latter case placement errors greater than 5 μm are observed as a result of charging. A minimum conductivity of 10−4 Ω−1 cm−1 is required for the polyaniline interlayers in order to observe zero pattern displacement. In addition, we have simplifi...

93 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe a method of confining carriers by deliberately creating large inhomogeneous strain patterns in a quantum well, which modulates the band gap to provide lateral quantum confinement for excitons.
Abstract: We describe a novel method of confining carriers by deliberately creating large inhomogeneous strain patterns in a quantum well The strain modulates the band gap to provide lateral quantum confinement for excitons Here, we generate strain confinement in an InGaAs quantum well by reactive ion beam assisted etching through an overlying compressed pseudomorphic quaternary layer using etch masks patterned by electron beam lithography Photoluminescence spectra of arrays of wires and dots show red‐shifted band gaps in direct evidence of lateral confinement We compare our results to finite element calculations of the inhomogeneous strain in an InP substrate from a compressed overlayer patterned into rectangular wires

70 citations


Patent
Holger Hübner1
26 Jul 1989
TL;DR: In this article, a method for producing a resist structure on a semiconductor material which has an opening tapering towards the semiconductor materials is provided, which can be used for the manufacturing of T-gate metallizations in a field effect transistor.
Abstract: A method for producing a resist structure on a semiconductor material which has an opening tapering towards the semiconductor material is provided. This method can be used, for example, for the manufacturing of T-gate metallizations in a field effect transistor. In this method, a thin, upper resist layer is structured, and the structure is transferred onto a silicon nitride layer. The structure is then transferred into a thickly applied resist while widening the upper part of the etching profile. The method is accomplished by a succession of anisotropic and isotropic dry etching steps.

57 citations


Journal ArticleDOI
TL;DR: In this paper, a selective chemical vapor deposition (CVD) tungsten process is used to fabricate three-dimensional micromechanical structures on a silicon substrate, which are formed in silicon dioxide trenches by selective nucleation and growth of tengsten from the bottom of the trench.

52 citations


Journal ArticleDOI
TL;DR: In this article, a compact recursive structure of Green's function is developed from coupled partial differential equations of thermal conduction, and temperature profiles are calculated for the absorption of normally incident continuous and pulsed-Gaussian-beam irradiation, on both static and moving media, with variable absorption across the source layer.
Abstract: The classic problem of heat flow in multilayer film structures has been revisited from the perspective of engineering applications for fields such as optical storage media design, laser annealing of semiconductor materials, electron beam lithography, and ion implantation. A compact recursive structure N‐layer Green’s function is developed from the coupled partial differential equations of thermal conduction. Temperature profiles are calculated for the absorption of normally incident continuous and pulsed‐Gaussian‐beam irradiation, on both static and moving media, with variable absorption across the source layer. General beam, amplitude‐time dependencies can be calculated with this formalism; however, only simple rectangular pulses are treated in the text. General N‐layer solutions are developed, and compact, recursive‐integral formulas, whose evaluation is enhanced with modern computer languages such as c and apl, are derived. In addition, we offer a clear physical interpretation of the results expressed ...

51 citations


Journal ArticleDOI
TL;DR: In this article, a very high resolution probe (beam diameter ∼20 nm FWHM) is used so that forward scattering effects in the resist may be separated from the primary beam distribution.
Abstract: Accurate proximity correction has proven essential for the patterning of submicron features using electron beam lithography. The use of a two‐Gaussian model, which accounts for the finite beam size and forward scattering in the resist as well as backscattering, has demonstrated widespread success. It has been shown, however, that in certain instances, such as for features of order 100 nm or less or for exposure on high atomic number substrates, the two‐Gaussian expression is unable to adequately fit the absorbed energy distribution in the resist. Suggested modifications, such as the addition of a third Gaussian term to account for large angle electron scattering, or the inclusion of an exponential term which may account for an increased absorption rate in high Z materials, have resulted in improved fits. This paper describes a study to determine the improvement gained in exposed features by including additional Gaussian terms in the expression for the absorbed energy distribution in the resist. A very high resolution probe (beam diameter ∼20 nm FWHM) is used so that forward scattering effects in the resist may be separated from the primary beam distribution. PMMA is exposed on Si and GaAs substrates at 25 keV using proximity correction parameters generated by curvefitting the three‐Gaussian model and the two‐Gaussian model to the absorbed energy distributions. The three‐Gaussian model is seen to provide improved proximity correction particularly in the 100 nm size scale.

49 citations


Journal ArticleDOI
TL;DR: In this article, a lateral resonant tunneling field effect transistor (LARTFET) has been fabricated using molecular beam epitaxy and ultrahigh-resolution electron beam lithography.
Abstract: A new lateral resonant tunneling field‐effect transistor (LARTFET) has been fabricated using molecular beam epitaxy and ultrahigh‐resolution electron beam lithography. The LARTFET has two 80‐nm‐long gate electrodes separated by 100 nm. The dual gates create double potential barriers in the channel and a quantum well in between. Conductance oscillations are observed, which, for the first time, indicate electron resonant tunneling through the energy states in a lateral double‐barrier quantum well formed electrostatically. Furthermore, after illumination, two additional negative transconductance peaks are observed. These additional peaks may be related to electron resonant tunneling through the donor‐related deep levels in silicon‐doped Al0.35Ga0.65As .

43 citations


Journal ArticleDOI
TL;DR: In this article, the first buried GaAs-GaAlAs quantum dots have been grown by MOCVD for the first time, and free-standing quantum dots were formed in GaAs and GaAlAs single quantum well material by a combination of electron beam lithography and dry etching.

40 citations


Journal ArticleDOI
TL;DR: In this article, a new dry developed high resolution positive working system: positive resist image by dry etching PRIME for e-beam and deep UV lithography is proposed. But, due to very high contrast (about 6), resolution limits were pushed down to 75 nm lines and spaces in 0.35 μm thick resist.
Abstract: A new dry developed high resolution positive working system: positive resist image by dry etching PRIME for e‐beam and deep UV lithography is proposed. This system is derived from photolithographic diffusion enhanced silylating resist diffusion enhanced silylating resist (DESIRE) process. In this report, we present the advantages of a top imaging scheme for e‐beam lithography: forward scattering and proximity effects are considerably minimized. Due to very high contrast (about 6), resolution limits were pushed down to 75 nm lines and spaces in 0.35 μm thick resist; holes of 0.2 μm in 1.2 μm thick resist were obtained. Under deep UV exposure, 0.2 μm lines and spaces in 0.7 μm thick resist were resolved with a mask aligner.

36 citations


Journal ArticleDOI
TL;DR: In this article, a study of pattern placement errors due to substrate charging in fixed-spot direct write electron beam systems is presented, which suggests that the substrate-electron interaction is strong and long range.
Abstract: This paper discusses initial results from a study of pattern placement errors due to substrate charging in fixed spot direct write electron beam systems. Experimental results obtained from small crosses written with 20 keV electrons near large pads indicate charging‐induced errors of 0.2 μm can occur over 1 mm away from the pad. We present a simple theory that describes the placement errors for large cross‐pad separations. The theory suggests that the substrate–electron interaction is strong and long range. Finally, we give some insights on how 50 keV electrons effectively reduce charging effects.

Patent
Takayuki Abe1
24 Apr 1989
TL;DR: In this paper, the degree of exposure due to a proximity effect caused by backward scattering charged from the shapes surrounding the position is calculated, and a charged beam emission quantity corresponding to the calculated degree is subtracted from a set emission quantity to compensate the proximity effect.
Abstract: A charged beam lithography writes a pattern with charged beams on a sample. The pattern involves various shapes. For every position in the shapes in the pattern, degree of exposure due to a proximity effect caused by backward scattering charged from the shapes surrounding the position is calculated. A charged beam emission quantity corresponding to the calculated degree of exposure is subtracted from a set emission quantity to compensate the proximity effect and obtain an optimum charged beam emission quantity for the position. With charged beams of the optimum emission quantities thus obtained, the pattern is written with high dimensional accuracy.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the pinhole density of 14-nm poly(methylmethacrylate) (PMMA) films with thickness ranges from 0.85 to 7.7 nm.
Abstract: To improve pattern fidelity of electron beam lithography in the nanometer regime a new class of ultrathin resist (less than 20 nm) has been investigated. Such films can be exposed with very low energy (<1 keV) electrons to virtually eliminate proximity effects or, at conventional electron energies, to allow easier proximity effect correction. In a previous study we investigated ultrathin (14 nm) poly(methylmethacrylate) (PMMA) films, prepared both by spin casting and Langmuir–Blodgett (LB) techniques, as high resolution electron beam resists. We reported that the pinhole density in 14‐nm LB PMMA films was considerably lower than the density in spin‐cast PMMA films of comparable thickness. 45‐nm‐wide lines were fabricated in 50‐nm thick chromium films using LB PMMA films as resists. In this paper, we have investigated the lithographic performance of LB PMMA films with thicknesses ranging from 0.85 (one monolayer) to 7.7 nm (nine monolayer) exposed with different electron beam energies. Two distributions of...

Proceedings ArticleDOI
01 Aug 1989
TL;DR: In this paper, direct-write electron beam lithography and multilayer resist processing for the fabrication of T-shaped gates was discussed and a series of computer programs were written to simulate the development process in a multilayers of electron resists.
Abstract: This paper will discuss direct-write electron beam lithography and multilayer resist processing for the fabrication of T-shaped gates. Gates whose length at the bottom of the "T" are less than 100 nm have been fabricated by this method using a multilayer of polynethylnethacrylate and lift-off. Because of the large cross-section of the T-gate, the resistance is reduced. The end-to-end resistance of the 100 nm T-shaped lines was less than 25) Ohninin as compered to 2000 Ohm/nin for a 100 nm conventional gate, i.e., an eight-fold decrease. In order to facilitate the fabrication of these gates a series of computer programs were written to simulate the development process in a multilayer of electron resists. These programs are based on a string development model of resist development. They allowed rapid prediction of the resist profiles. As a demonstration of the increased device performance made possible by this prociss, modulation-doped field effect transistors (HOLEY) have been fabricated using these T-gate structures . The extrapolated unity current gain frequency (ft) of these transistors is 113 Gilz.

Journal ArticleDOI
TL;DR: In this paper, rectangular corrugations of 70 nm pitch and 100 nm depth were formed on InP using electron beam lithography and two-step wet chemical etching.
Abstract: Rectangular corrugations of 70 nm pitch and 100 nm depth were formed on InP using electron beam lithography and two-step wet chemical etching. In electron beam lithography, line distributions were measured to estimate the narrowest possible period, and a fine periodic resist pattern with a 50 nm period was formed. It is pointed out that two-step wet chemical etching with a thin epitaxial mask has the advantage of suppressing the undercut etching because of good adhesion of the epitaxial mask. This etching method was applied to InP etching by HCl utilizing the material-selective and anisotropic properties. Compositions of etchant were optimized to overcome the deformation of mesas in the nanometer range.

Journal ArticleDOI
TL;DR: Two holograms are described that represent different approaches in design, encoding, and data handling of high performance holograms using electron-beam lithography and were evaluated in experimental systems involving photodetectors integrated onto a VLSI circuit chip and a discrete semiconductor laser.
Abstract: High performance holograms are a crucial part of realizing the predicted benefits of optical interconnection of electronic circuits. Fabrication of computer-generated holograms using electron-beam lithography provides access to the needed performance but presents new challenges in design, encoding, and data handling. Two holograms are described that represent different approaches in each of these areas. The first hologram connects a single laser source to several widely separated detectors. A paraxial imaging arrangement allows the holographic interferogram to be encoded as concentric circles of a Fresnel zone plate. The second hologram was designed to replace the row address lines on a 1 kbit RAM chip. In this case, broad separation of the sources prohibits use of the paraxial approximation. Instead, a piecewise approximation to the optimal phase function is encoded with a closed-contour fringe-tracing algorithm. Both holograms were evaluated in experimental systems involving photodetectors integrated onto a VLSI circuit chip and a discrete semiconductor laser.

Journal ArticleDOI
TL;DR: In this paper, a PC-based pattern generator for nanometer structure research applications has been developed, which interprets data produced by a computer-aided design software package and controls the scanning and beam-blanking coils of a scanning electron microscope.
Abstract: A versatile, PC‐based pattern generator for nanometer structure research applications has been developed. The pattern generator interprets data produced by a computer‐aided design software package and controls the scanning and beam‐blanking coils of a scanning electron microscope. The patterns are written using a vector scanning mode with each vector being written as a series of point exposures. By synchronizing the beam‐blanking and the beam‐scanning signals, a pattern is written in the steady state of the microscope and the pattern generator. This technique affords a high degree of accuracy and pattern perfection over a wide range of field sizes. At low magnification, patterns may be written over a large area (0.5×0.5 mm2 ), while at high magnification, delineation of nanometer scale circular arcs and straight lines of arbitrary angle is possible. We also demonstrate how the pattern generator can be used for registration to a resolution of better than 150 nm.

Journal ArticleDOI
TL;DR: In this article, a field emission electron source that combines scanning tunneling microscope (STM) technology with a micromachined aperture has been explored, where the STM is used to position the field emission tip over a metal aperture of 0.4-2.5 μm diam.
Abstract: A field emission electron source that combines scanning tunneling microscope (STM) technology with a micromachined aperture has been explored. The STM is used to position the field emission tip over a metal aperture of 0.4–2.5 μm diam. An extraction voltage ranging from 50 to 200 V applied between the tip and the aperture results in the field emission of electrons, which pass through the aperture and can be collected on the other side. The aperture is fabricated on a silicon nitride membrane using electron beam lithography and reactive ion etching. Such a source can potentially provide a very bright, high current, low voltage source of electrons. The simple aperture can be replaced by a microlens consisting of a stacked structure of two or three thin film electrodes separated by thin film insulating spacers, and a compact, high performance electron source or complete optical system can be realized. While the concept of a miniature field emission cathode is well known, incorporation of an STM into the design gives several advantages. These include fine positioning of the tip with respect to the aperture, both vertically and horizontally; active feedback stabilization of the emission current by adjusting the tip position without changing the extraction voltage; and replacability of the tip. Source characteristics as a function of aperture diameter, tip radius, and extraction voltage as well as data on noise, lifetime, maximum total current, and operating pressure are presented. An accompanying paper will present detailed calculations of the electron–optical performance.


Journal ArticleDOI
TL;DR: In this article, an efficient, stable onium salt, triphenylsulfonium hexafluoroantimonate produces acid upon exposure to radiation, for example, deep ultraviolet (UV) light, electron beam, and x rays.
Abstract: Negative resists using photo‐acid initiated cationic polymerization of epoxy resins were reported in the early 1980s with the advent of onium salts. An efficient, stable onium salt, triphenylsulfonium hexafluoroantimonate produces acid upon exposure to radiation, for example, deep ultraviolet (UV) light, electron beam, and x rays. Combining this onium salt with commercial glycidyl ether epoxy resins provides an inexpensive resist capable of submicron imaging in deep UV, electron beam, and x‐ray lithography. Lithographic investigations have concentrated on o‐cresol epoxy novolac resin–onium salt resist formulations. Resist contrasts are high, >3 in the deep UV, ≥2 with electron beam, and ≥3 for x ray. Submicron resolution is obtained without solvent swelling. Swelling affects resolution below 0.5 μm, although high aspect ratio sub‐half‐micron images have been obtained. Epoxide equivalent weight and molecular weight distribution are significant resin properties which influence resist formulating and lithogr...

Proceedings ArticleDOI
03 Oct 1989
TL;DR: In this paper, the authors have demonstrated the monolithic integration of SAW (surface acoustic wave) devices in a ZnO-SiO/sub 2/Si layered structure with high-frequency electronic circuitry.
Abstract: The monolithic integration of SAW (surface acoustic wave) devices in a ZnO-SiO/sub 2/-Si layered structure with high-frequency electronic circuitry has been demonstrated. The high-resolution technology for the fabrication of the aluminum interdigital pattern-electron beam lithography, bilayer masking, and dry etching-is explained and illustrated with SEM (scanning electron microscope) photographs. Experimental results on a SAW delay line, a SAW transversal filter, and a SAW resonator filter show the feasibility of obtaining on-chip selectivity with SAW filters. A passivation of the sputtered, piezoelectric ZnO layer has been realized with a thin silicon nitride layer, resulting in an increased stability of the frequency response of the SAW device. A single-chip FM upconversion radio receiver with an on-chip SAW filter is discussed. >

Proceedings ArticleDOI
30 Jan 1989
TL;DR: In this paper, the photoselectivity of the silylation process is determined, to a large extent, by the presence of hydrogen bonds between the resin and the unexposed sensitizer.
Abstract: In this paper various single level resist systems are presented that combine gas phase silylation with dry development. For novolak-diazoquinone type resists it is shown that the photoselectivity of the silylation process is determined, to a large extent, by the presence of hydrogen bonds between the resin and the un-exposed sensitizer. Upon irradiation these physical crosslinks are replaced by weaker hydrogen bonds between the resin and the indene-carboxylic acid. The effect of the presilylation bake temperature and decarboxylation are discussed. Also the influence of decomposition of the photoactive compound on the selectivity is shown. Other systems presented in this paper are based on chemical crosslinking of the resist. SUPER (SUbmicron Positive dry Etch Resist) is based on the combination of acid-catalyzed crosslinking and gas phase silylation. Because of the chemistry that is used, SUPER can be an interesting candidate for DUV-lithography. Crosslinking of, novolak-diazoquinone type photoresists is another possibility to create a selectivity for the silylation process. A system based on electron beam lithography is presented. Sub-half-micron features, without problems with the proximity effect, are shown.

Journal ArticleDOI
TL;DR: In this article, side-gate transistors were proposed to control an Aharonov-Bohm phase shift in the GaAs-AlGaAs loop, where the two dimensional electron gas remaining at both sides of the channel is utilized to narrow the conduction width.
Abstract: Quantum wires with width less than the elastic mean free path and comparable to the Fermi wavelength are fabricated using high resolution electron beam lithography and ion beam etching. The low temperature magnetotransport properties of the quasi-ballistic channels reveal novel phenomena resulting from the ballistic motion of electrons. We propose new side-gate transistors. The two dimensional electron gas remaining at both sides of the channel is utilized to narrow the conduction width. This technique may open the way to control electrostatically an Aharonov-Bohm phase shift in the GaAs-AlGaAs loop.

Journal ArticleDOI
G. Mayer1, B. E. Maile1, R. Germann1, Alfred Forchel1, H. P. Meier2 
TL;DR: In this paper, the authors investigated the recombination luminescence of the charge carriers in time-resolved spectroscopy as a function of the wire width and found that a strong reduction of the recombinations lifetime and a corresponding quenching of the luminecence intensity with decreasing wire width.

Patent
18 Dec 1989
TL;DR: In this paper, a composite body is provided which is comprised of a layer of resist material on an electrically conductive substrate, which is irradiated to form irradiated band regions in the resist layer by conducting a first irradiation in which the substrate having the resist-layer thereon is positioned at an angle of +α relative to a plane orthogonal to the incident radiation to form a first set of band-shaped regions, and a second irradiation is conducted in order to form another set of bandshapes which overlap the first set at the interface between the resist
Abstract: A process for the lithographic manufacture of electroformable microstructure having a triangular or trapezoidal cross-section from a resist material. A composite body is provided which is comprised of a layer of resist material on an electrically conductive substrate. The resist layer is irradiated to form irradiated band regions in the resist layer by conducting a first irradiation in which the substrate having the resist layer thereon is positioned at an angle of +α relative to a plane orthogonal to the incident radiation to form a first set of band-shaped regions, and a second irradiation in which the substrate is positioned at an angle of -α relative to a plane orthogonal to the incident radiation to form a second set of band-shaped regions which overlap the first set at the interface between the resist layer and the substrate. The irradiated regions of the resist layer are developed to produce microstructures.

Journal ArticleDOI
TL;DR: In this paper, the authors address the various aspects of e-beam lithography as they relate to device fabrication at and below 0.25 μm, focusing on compromises between critical issues such as beam profile, throughput, image quality, process latitude, degree of accuracy in proximity effect correction, and overall process engineering for very high resolution lithography.
Abstract: This paper addresses the various aspects of e‐beam lithography as they relate to device fabrication at and below 0.25 μm. These dimensions will eventually be necessary for the fabrication of 256 MB DRAM chips. It is important to evaluate how key components in lithography have to be integrated to provide this necessary early learning. E‐beam lithography tools today may consist of Gaussian round and variable shape beam systems. The understanding of the performance of the tool goes hand in hand with directly related issues such as electron‐resist interaction, proximity effect correction, etc. Since each of these parameters can be optimized independently, but not simultaneously as a complete set, tradeoffs will have to be made. The discussion will therefore focus on compromises between critical issues such as beam profile, throughput, image quality, process latitude, degree of accuracy in proximity effect correction, and overall process engineering for very high resolution lithography. All of these aspects are strategically important components in support of device technology research. The implementation of an ‘‘integrated e‐beam lithography’’ operation as it relates to fully scaled and partially scaled device programs will be discussed. Current work on device fabrication below 0.25 μm demonstrates the capability of integration of all sectors of e‐beam lithography to provide early research work for ULSI device technology.

Journal ArticleDOI
Uwe Schnakenberg1, Wolfgang Benecke1, V. Wallendszus1, K.P. Müller1, A. Heuberger1, B. Lischke1 
TL;DR: In this article, a multiple beam forming unit for a new line printer type lithography system called High Speed, High Resolution Electron Lithography System (HISEL) is described.

Patent
Hiroshi Yasuda1, Masahiko Suzuki1
14 Feb 1989
TL;DR: In this paper, a magnetic object lens is placed on a continuously moving stage to be exposed to the electron beam, and a bore is opened in the lower pole piece which faces the object, and has a stripe-like cross-section to allow the passage of electron beam.
Abstract: An electron beam exposure apparatus scans an electron beam in a limited space for electron beam lithography. A magnetic object lens of the apparatus has a lower pole piece facing an object to be processed, which is placed on a continuously moving stage to be exposed to the electron beam. A bore is opened in the lower pole piece which faces the object, and has a stripe-like cross-section to allow the passage of the electron beam. The shape of the opening inhibits the passage of undesirable leakage magnetic flux issued from the magnetic lens toward the object, and guides the electron beam to land on the object perpendicularly. With this configuration, deflection aberration of the deflection apparatus which is caused by an eddy current induced in the moving stage due to the magnetic flux reaching the stage is substantially prevented, and normal landing of the electron beam on the object is realized.

Journal ArticleDOI
TL;DR: In this paper, a 0.1μm-gate-length superconducting field effect transistor (FET) with a coplanar structure is realized by a self-aligned fabrication process using electron beam lithography.
Abstract: A 0.1‐μm‐gate‐length superconducting field effect transistor (FET) with a coplanar structure is realized by a self‐aligned fabrication process using electron beam lithography. A T‐shaped gate structure with an insulated sidewall makes it possible to form the spacing between the superconducting source and drain electrodes to be <0.15 μm without causing an electrical short. The characteristics of this FET measured at 4.2 K indicate that the superconducting current and normal‐state resistance can be successfully controlled by the applied gate bias.

Journal ArticleDOI
TL;DR: Si-MOSFFT as discussed by the authors is a two-fold gate structure in which a narrow gate is covered with a wide gate, with this structure, effective channel widths can be narrower than those achieved by lithography.
Abstract: Deep sub-µm narrow-channel Si metal-oxide-semiconductor field-effect transistors (Si-MOSFFT's) are fabricated using conventional LSI processes together with electron beam lithography. The device comprises a twofold-gate structure in which a narrow gate is covered with a wide gate. With this structure, effective channel widths can be narrower than those achieved by lithography. Peak-and-valley structures are found in transconductance at low temperatures. They are thought to originate in quasi-one-dimensional subbands.