scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2006"


Patent
10 Mar 2006
TL;DR: In this article, a halftone phase shift mask has an engraved portion in a substrate transparent to exposure light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion or in a peripheral portion of the substrate includes a material made of a material which can be etched in an etching process using an etch gas essentially comprising a fluorine-based gas.
Abstract: PROBLEM TO BE SOLVED: To provide a halftone phase shift mask that can significantly improve CD (critical dimension) performance, and to provide a method for manufacturing the mask. SOLUTION: The halftone phase shift mask has an engraved portion in a substrate transparent to exposure light so as to control the phase of transmitted light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion of the substrate or in a peripheral portion of the substrate includes a film (A) made of a material which can be etched in an etching process using an etching gas essentially comprising a fluorine-based gas. COPYRIGHT: (C)2007,JPO&INPIT

30 citations


Patent
26 Sep 2006
TL;DR: In this article, a method for mask blanks with anti reflective coatings comprising at least two sublayers was proposed. But this method was only applicable to binary and phase shift masks with an exposure wavelength of 300 nm or less.
Abstract: The present invention relates to mask blanks with anti reflective coatings comprising at least two sublayers. Such bilayer or multilayer anti reflective coatings are advantageous for binary and phase shift mask blanks for use in lithography for an exposure wavelength of 300 nm or less with improved anti reflection properties; and to EUVL mask blanks having improved inspection properties.

28 citations


Patent
10 Mar 2006
TL;DR: The chromeless phase shift mask has an engraved portion in a substrate transparent to exposure light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion or in a peripheral portion of the substrate includes a film (A) made of a material which can be etched in an etching process using an etch gas essentially comprising a fluorine-based gas as mentioned in this paper.
Abstract: PROBLEM TO BE SOLVED: To provide a chromeless phase shift mask that can significantly improve CD (Critical Dimension) performance, and to provide a method for manufacturing the mask. SOLUTION: The chromeless phase shift mask has an engraved portion in a substrate transparent to exposure light so as to control phases of transmitted light, and is characterized in that a light shielding film provided in a portion adjacent to the engraved portion of the substrate or in a peripheral portion of the substrate includes a film (A) made of a material which can be etched in an etching process using an etching gas essentially comprising a fluorine-based gas. COPYRIGHT: (C)2007,JPO&INPIT

23 citations


Patent
Tomohiko Yamamoto1
15 Dec 2006
TL;DR: In this article, a light intensity distribution of irradiation light constituted of double pole illuminations is formed to correspond to L&S patterns, and the double pole illumination is constituted of a pair of illumination modes.
Abstract: Exposure for performing patterning in which micropatterns differing in pitch exist in close vicinity to one another is handled, and micropatterns are formed with high accuracy with sufficient manufacture process margins without using a photomask complicated in manufacturing process at high manufacture cost like an alternating phase shift mask. A light intensity distribution of irradiation light constituted of double pole illuminations is formed to correspond to L&S patterns. The double pole illumination is constituted of a pair of illumination modes, and the double pole illumination is constituted of a pair of illumination modes.

17 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, phase shift masks (PSM) are evaluated as a possible option to push the resolution limit of the Extreme Ultra violet (EUV) lithography, which is expected to be inserted for the 32 nm node and extended for the 22 nm and below.
Abstract: EUV lithography is expected to be inserted for the 32 nm node and extended for the 22 nm and below. Phase shift masks (PSM) are evaluated as a possible option to push the resolution limit of the Extreme Ultra violet lithography. This paper will focus on designs and measurements of PSM implemented by etching into the Mo/Si multilayer (ML). The design and the technological developments to elaborate PSM by etching is described. Phase shift Sample (PSS) have been carried out to calibrate in "true operating conditions", i.e. through the measurement of the phase shift they produce on a reflected wavefront, at the wavelength (λ=13.5nm). The method of calibration have been investigated with a Fresnel bimirror interferometer installed on the PSI Swiss Light Source Synchrotron to measure directly the value of interest, i.e the optical phase.

14 citations


Patent
01 Nov 2006
TL;DR: In this paper, a phase shift mask blank is used for short wavelength exposure light source at a wavelength of 200 nm or shorter and having improved chemical resistance, light resistance and resistance against warm water.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank suitable for a mask for short wavelength exposure light source at a wavelength of 200 nm or shorter and having improved chemical resistance, light resistance and resistance against warm water, and to provide a halftone phase shift mask obtained by using the above phase shift mask blank. SOLUTION: The phase shift mask blank 10 has a light-semitransmitting film 2 having a predetermined transmittance at the wavelength of exposure and comprising nitrogen, metal and silicon as main constituents formed on a transparent substrate 1. After the light-semitransmitting film 2 is formed on the transparent substrate 1, the light-semitransmitting film 2 is acted upon by a high concentration ozone gas. The light-semitransmitting film 2 is patterned to obtain a halftone phase shift mask 20 formed with a light-semitransmitting portion 2a. COPYRIGHT: (C)2008,JPO&INPIT

14 citations


Proceedings ArticleDOI
19 May 2006
TL;DR: In this paper, a mask inspection system, whose inspection light wavelength is 199nm, has been developed, with transmission and reflection inspection mode, and throughput, using 70 nm pixel size, were designed within 2 hours per mask.
Abstract: The usage of ArF immersion lithography for hp 65nm node and beyond leads to the increase of mask error enhancement factor in the exposure process. Wavelength of inspection tool is required to consistent with wavelength of lithography tool. Wavelength consistency becomes more important by the introduction of phase shift mask such as Tri-tone mask and alternating phase shift mask. Therefore, mask inspection system, whose inspection light wavelength is 199nm, has been developed. This system has transmission and reflection inspection mode, and throughput, using 70 nm pixel size, were designed within 2hours per mask. The experimental results show expected advantages for Die-to-Die and Die-to-Database inspection compared with the system using 257nm inspection optics. Shorter wavelength effect makes transmission inspection sensitivity increase, and realizes 40nm size particle inspection. As for the phase shift mask, the difference of gray value between the area with phase defect and without phase defect was clear relatively. In this paper, specifications and design, experimental results are described.

14 citations


Journal ArticleDOI
TL;DR: With this technique, nanoscale features were easily coupled to microscale features because they were created in the same photoresist layer with one exposure.
Abstract: We report a technique for fabricating three-dimensional structures from two-dimensional photomasks in a single exposure. Size-dependent transmission properties of apertures in the photomask and exposure energy were used to control polymer feature dimensions. The photomasks were produced by electron beam lithography, and apertures in the photomasks were 0.35-5.5 microm wide and 20-30 microm long. Photomasks were coated with the negative tone photoresist SU-8, and following exposure and postexposure processing, the resulting SU-8 features had widths from 0.35 to 5.5 microm and heights from 1.1 to 10.8 microm. With this technique, nanoscale features were easily coupled to microscale features because they were created in the same photoresist layer with one exposure.

13 citations


Patent
20 Dec 2006
TL;DR: A halftone phase shift mask blank has a phase shifter film on a transparent substrate as discussed by the authors, which is composed of a metal silicide compound containing Mo, at least one metal selected from Ta, Zr, Cr and W, and one element selected from O, N and C.
Abstract: A halftone phase shift mask blank has a phase shifter film on a transparent substrate. The phase shifter film is composed of a metal silicide compound containing Mo, at least one metal selected from Ta, Zr, Cr and W, and at least one element selected from O, N and C. The halftone phase shift mask blank has improved processability and high resistance to chemicals, especially to alkaline chemicals.

12 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this paper, the authors investigate how the aquatic images with unpolarized and properly polarized illumination on binary image masks, attenuated phase shift masks (attPSM), and alternating aperture phase shift mask (altPSM) are affected by those mask parameters, using rigorous electro-magnetic field simulator.
Abstract: As ArF immersion lithography is adopted beyond the 45 nm node, the minimum mask feature size will become equal to or smaller than the wavelength of the light. For such situations, polarization by the mask will play a very important role on imaging quality. In addition, TM and TE diffraction efficiencies for very narrow grating masks will depend significantly on the mask materials. Also, they are affected by variations of absorber thickness, sidewall angle and material optical properties. In this paper, we investigate how the aquatic images with unpolarized and properly polarized illumination on binary image masks, attenuated phase shift masks (attPSM) and alternating aperture phase shift masks (altPSM) are affected by those mask parameters, using rigorous electro-magnetic field simulator. In terms of mask topography effects, there are some difficulties with phase shift mask technology with unpolarized illumination beyond 45 nm node. We will need to control absorber thickness within 2.6% for attPSM with unpolarized illumination and sidewall angle of π-shifter trenches within 1 degree for altPSM with unpolarized illumination.

12 citations


Patent
24 Apr 2006
TL;DR: In this paper, a method of forming an optical compound, consisting of: a) the formation of a multilayer stack (32, 34) comprising an adjustment layer (30) that is made from a metal/semiconductor mixture which is formed in or on the stack; b) the etching of part of the multi-layer stack including at least part of adjustment layer; and c) annealing step in order to contract the adjustment layer by less than 1 nm.
Abstract: The invention relates to a method of forming an optical compound, consisting of: a) the formation of a multilayer stack (32, 34) comprising an adjustment layer (30) that is made from a metal/semiconductor mixture which is formed in or on the stack; b) the etching of part of the multilayer stack, including at least part of the adjustment layer; and c) an annealing step in order to contract the adjustment layer by less than 1 nm.

Proceedings ArticleDOI
21 Jun 2006
TL;DR: In this article, the authors minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations, based on the results, fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner.
Abstract: Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good performance due to the high image contrast and the small mask error enhancement factor (MEEF). For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production application. The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different depending on the pitch. In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer printing, AIMS, and simulation.

Proceedings ArticleDOI
04 May 2006
TL;DR: In this article, a thickness-optimized Ta/SiO 2 attPSM was fabricated to compare the imaging performance with the standard Ta and MoSi attPSMs with 6% transmission and 180 o phase shift.
Abstract: Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller) However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography A reason lies in the transmitted polarization state through the mask The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light In our previous work absorber thickness of bi-layer attPSM, ie Ta/SiO 2 , was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM In this study, the thickness-optimized Ta/SiO 2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO 2 and MoSi attPSMs with 6% transmission and 180 o phase shift The thickness-optimized Ta/SiO 2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180 o phase shift is controlled by SiO 2 thickness The exposure latitude of 45 nm LS delineated by using an NA120 full-field scanner with xy-polarized cquadrupole was 157%, 134%, and 101% with depth of focus of 200 nm for the optimized Ta/SiO 2 , the standard Ta/SiO 2 , and MoSi, respectively Line width roughness of the Ta/SiO 2 attPSMs was approximately 55 nm for the 45 nm LS, which was comparable to MoSi Mask-error-enhancement factor (MEEF) of the 45 nm LS was 44, 49, and 38 for the optimized Ta/SiO 2 , the standard Ta/SiO 2 , and MoSi, though the simulation expected MEEF values of 41, 55, and 63, respectively Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality

Proceedings ArticleDOI
06 Oct 2006
TL;DR: Wang et al. as mentioned in this paper developed a mask inspection system using 199nm inspection light wavelength, which can perform transmission and reflection inspection processes concurrently within two hours per plate, and it has the possibility corresponding to next generation mask inspection.
Abstract: We have developed a mask inspection system using 199nm inspection light wavelength. This system performs transmission and reflection inspection processes concurrently within two hours per plate. By the evaluation result of mask images and inspection sensitivity, it is confirmed that the 199nm inspection system has the advantage over the system using 257nm and has the possibility corresponding to next generation mask inspection. Furthermore, advanced die-to-database (D-DB) inspection, which can generate high-fidelity of a reference image based on the CAD data for alternating phase shift mask (PSM) or tri-tone, is required for next generation inspection system, too. Therefore, a reference image generation method using two-layer CAD data has been developed. In this paper, the effectiveness of this method is described.

Patent
10 Mar 2006
TL;DR: In this article, residual ions, which are considered a factor of occurrence of haze which is a growth defect of a surface of a photomask during a photolithography step of a wafer process, are controlled in order to change the content of the surface of the phase shift mask.
Abstract: Disclosed is a phase shift mask. Residual ions, which are considered a factor of occurrence of haze which is a growth defect of a surface of a photomask during a photolithography step of a wafer process, are controlled in order to change the content of a surface of the phase shift mask. Diffusion of the residual ions into the surface of the mask is suppressed during a photomask wet cleaning process in order to prevent the haze.

Journal ArticleDOI
TL;DR: In this article, the authors developed sub-100-nm resist patterns with alternating phase shift mask (alt PSM) using 0.68 numerical aperture KrF lithography scanner at a partial coherence factor σ of 0.31, the lowest available in their tool.
Abstract: We develop sub-100-nm resist patterns with alternating phase shift mask (alt PSM) using 0.68 numerical aperture KrF lithography scanner at a partial coherence factor σ of 0.31, the lowest available in our tool—a need of alt PSM technique. Although we achieve resist lines down to 65nm, the standing wave and critical dimension (CD) swing effects are immense on big patterns. The 180nm lines show CD swings two times to that of 90nm lines. The sidewall profiles of 180nm lines are also more susceptible to swing inflection point selection than 90nm lines. The finding on the use of alt PSM is that the higher standing wave, larger CD swing, and more degradation of sidewall profiles on big patterns than small patterns are the implications of low σ illumination. We suggest the inclusion of big patterns for swing studies while setting up the lithography process using alt PSM.

Patent
31 Aug 2006
TL;DR: In this article, a phase mask is arranged in or near the pupil plane of the first imaging optics which can be positioned exactly in the x-y direction by which interferograms are generated which are phase-shifted in the y-direction by translational displacement of the coherence mask or of the diffraction grating.
Abstract: A device and method for the interferometric measurement of phase masks, particularly from lithography. Radiation passing through a coherence mask is brought to interference by a diffraction grating. A phase mask is arranged in or near the pupil plane of the first imaging optics which can be positioned exactly in the x-y direction by which interferograms are generated which are phase-shifted in the x-y direction by translational displacement of the coherence mask or of the diffraction grating. The interferograms are imaged onto the spatially resolving detector by second imaging optic and the phase and transmission functions of the phase mask are determined by an evaluation unit. The invention can, of course, generally be applied to planar phase objects, such as biological structures, for example, points of establishment with respect to an interference microscope.

Patent
21 Sep 2006
TL;DR: In this article, a self alignment type phase shift mask has been proposed to solve problems of degradation in transfer accuracy caused by unnecessary reflected light on a substrate face as an object of transfer.
Abstract: PROBLEM TO BE SOLVED: To provide a self alignment type phase shift mask that can solve problems of degradation in transfer accuracy caused by unnecessary reflected light on a substrate face as an object of transfer and on a mask face without giving adverse influences on transmitting property for exposure light during exposure. SOLUTION: The self alignment type phase shift mask has the following layer structure from one surface of a transparent substrate: a first transmitting part comprising a phase shift transmitting film; a second transmitting part outside the first part, comprising the phase shift transmitting film; a third transmitting part outside the second part, comprising a semitransmitting film and the phase shift transmitting film; and an outermost light-shielding part comprising the semitransmitting film, a light shielding film and the phase shift transmitting film. By controlling the film thickness and refractive index of the semitransmitting film and controlling the refractive index of the phase shift transmitting film, the light transmitting through the first transmitting part and through the second transmitting part generates 180° phase inversion, while the light transmitting the second transmitting part and through the third transmitting part generates 180° phase inversion. Further, by controlling the film thickness of the phase shift transmitting film, the reflected light on the surface of the phase shift transmitting film and on the surface of the light shielding film generates 180° phase inversion. COPYRIGHT: (C)2007,JPO&INPIT

Journal ArticleDOI
TL;DR: It was found that the change in sidewall surface roughness did not significantly affect the dispersion coefficients measured, and thus the separation quality, and the lower mask resolution limit was governed by the fidelity to which the mask could capture the original CAD design.
Abstract: Separation quality on glass microfluidic devices fabricated from photomasks of different optical resolutions was compared by measuring the dispersion (apparent diffusion) coefficients of a set of standard compounds separated on these devices. Currently, the channel manifolds of most microfluidic devices are patterned using chrome photomasks. A much cheaper, more robust alternative to chrome photomasks are laser photoplotted masks. The primary disadvantage to using laser photoplots is that the optical resolution of these masks is not as high as that of chrome masks, and this feature increases the side-wall roughness of etched channel manifolds patterned using such masks. The increased wall roughness may affect the fluid flow within the channels and, therefore, the separation quality. To determine the effect of increased sidewall channel roughness, microchip channel manifolds were patterned in soda lime glass using a chrome photomask and laser photoplots printed at resolutions of 620, 1240, 3100 and 6200 dots per centimetre (dpc). Separations were performed on these devices using dilute solutions of fluorescently labeled amino acids. The peak variances of the amino acids were calculated at increasing distances down the separation channel and plotted as a function of migration time. From this plot, dispersion coefficients of the analytes were measured. This allowed for a reliable, relatively easy, direct separation analysis among microchips fabricated from the various photomasks. After multiple separations using microchips fabricated from each resolution mask, we found that the change in sidewall surface roughness did not significantly affect the dispersion coefficients measured, and thus the separation quality. The lower mask resolution limit, rather, was governed by the fidelity to which the mask could capture the original CAD design.

Patent
10 Mar 2006
TL;DR: In this paper, a photomask for use in an exposure apparatus which forms an exposure pattern by illumination includes at least two polarization modulation regions which produce mutually incoherent polarized light components and adjoin each other.
Abstract: A problem of a decrease in transmissive light, which partly occurs at a boundary between two polarization modulation regions of a polarized phase shift mask, is solved. A photomask for use in an exposure apparatus which forms an exposure pattern by illumination includes at least two polarization modulation regions which produce mutually incoherent polarized light components and adjoin each other, at least two phase modulation regions which impart a phase difference of 180° and adjoin each other, and amplitude modulation regions which decrease transmittance. A contact line between the polarization modulation regions and a contact line between the phase modulation regions are located at a corresponding position, and the amplitude modulation regions are provided on both sides of the common contact line, with a predetermined distance from the common contact line.

Patent
22 Dec 2006
TL;DR: In this article, an attenuated phase shift mask suitable for hyper NA lithographic processing of a device was proposed, taking into account the effect of the numerical aperture of the lithographic system on which the mask is to be used.
Abstract: One inventive aspect relates to an attenuated phase shift mask suitable for hyper NA lithographic processing of a device, to a method of making such a mask and to hyper NA lithographic processing using such a mask. The attenuated phase shift mask is made taking into the effect of the numerical aperture of the lithographic system on which the attenuated phase shift mask is to be used.

Journal ArticleDOI
Takashi Sato1, Ayako Endo1, Akiko Mimotogi1, Shoji Mimotogi1, Kazuya Sato1, Satoshi Tanaka1 
TL;DR: In this paper, the transverse electric (TE) polarization light had higher transmittance of the zeroth order than transverse magnetic polarization light for a Cr mask according to rigorous model simulation of a finite difference time domain method.
Abstract: In recent low-k1 lithography, the size of a mask pattern is becoming close to the wavelength of the light source. In a sub-100-nm pattern at wafer scale of 4× masks, transverse electric (TE) polarization light had higher transmittance of the zeroth order than TM polarization for a Cr mask according to rigorous model simulation of a finite difference time domain method. On the other hand, transverse magnetic (TM) polarization light had higher transmittance than TE polarization light for a MoSi mask. From the results of lithography simulation for a 45-nm pattern on the MoSi mask, TE polarization was better for wide exposure latitude, but TM polarization was better for large depth of field. The performance of a current MoSi mask is inferior to that of a Cr mask. However, a lower transmittance MoSi mask has better performance in the exposure defocus window under the dipole illumination. Also, rigorous simulation showed transmittance dependency of the light incident angle to the MoSi mask. The dependency was larger for TM polarization than for TE polarization.

Proceedings ArticleDOI
15 Mar 2006
TL;DR: In this article, an automated aberration extraction method is presented which allows extraction of lithographic projection lens' aberration signature having only access to object (mask) and image (wafer) planes.
Abstract: An automated aberration extraction method is presented which allows extraction of lithographic projection lens' aberration signature having only access to object (mask) and image (wafer) planes. Using phase-wheel targets on a two-level 0/ir phase shift mask, images with high sensitivity to aberrations are produced. Zemike aberration coefficients up to 9 th order have been extracted by inspection of photoresist images captured via top-down SEM. The automated measurement procedure solves a multi-dimensional optimization problem using numerical methods and demonstrates improved accuracy and minimal cross-correlation. Starting with a detailed procedure analysis, recent experimental results for 193-nm projection optics in commercial full field exposure tools are discussed with an emphasis on the performance of the aberration measurement approach.

Patent
28 Apr 2006
TL;DR: In this article, a mask including a transparent substrate, a semi-transparent layer and a film layer is provided, and several fabrication methods of the mask are also disclosed to form the above-mentioned mask.
Abstract: A mask including a transparent substrate, a semi-transparent layer and a film layer is provided. The transparent substrate at least has a first region, a second region and a third region. The semi-transparent layer covers the second region and the third region of the transparent substrate and exposes the first region. The film layer covers the halftone layer disposed at the third region, to make the transmittance of the third region lower than that of the second region. The halftone layer and the film can be made of phase shift layers, to form a phase shift mask. Besides, several fabrication methods of the mask are also disclosed to form the above-mentioned mask.

Proceedings ArticleDOI
06 Oct 2006
TL;DR: In this paper, the attenuated phase shift mask (att.PSM) was evaluated by using the 3D electro-magnetic field simulator and the results showed that high-transmission att. PSM has better MEEF and partially better DOF than those of 6%-transmission MoSi type.
Abstract: The attenuated phase-shift mask (att. PSM) is one of resolution enhancement technologies (RET) and has been widely adopted for several device layers. And the high-transmission att. PSM, which has various structures and transmittances, can be expected to have the advantages in process window. In this paper, the lithographic performances (Contrast, MEEF and DOF) of high-T att. PSM were evaluated by using the 3D electro-magnetic field simulator. The results showed that high-T att. PSM has better MEEF and partially better DOF than those of 6%-transmission MoSi type. As the transmittance is getting higher, the smaller line CD is needed for OPC adjustment especially at narrow pitch. In respect of film structure, it is found that there is no large difference among three high-T att. PSMs except for MEEF at specific pitch. Remaining chrome on the high-T films causes the trade-off between contrast and MEEF. The simulation results are compared with AIMS results measured by AIMS TM 45-193i of Carl Zeiss. The AIMS results of actual masks agree with no-Hopkins mode simulation very well, while they do not agree with Hopkins mode simulation especially at narrow pitch. Because the azimuthal polarization does not cause contrast loss, the differences between AIMS mode (conventional) and Scanner mode (vector effect emulation) are small.

Proceedings ArticleDOI
06 Oct 2006
TL;DR: In this article, the effect and performance of the selected lithography side RETs and mask for each, using optical simulation software, were evaluated using optical simulations and optical flow simulations.
Abstract: As for 32-nm node (minimum half pitch 45-nm) logic device of the next generation, the leading semiconductor device makers propose the following three kinds of lithography techniques as a candidate, multi-exposure with water immersion lithography So we will evaluate them In previous work, we evaluated the resolution limit and printing performance through various pitches of 45-nm node (minimum half pitch 65-nm) lithography We evaluated the alternate aperture phase shift mask(alt-PSM) of NA=093 (dry and immersion) and various resolution enhancement technologies (RETs) with off-axis and polarized illumination of NA=107(water immersion) The minimum k1 examined at previous time was 031 and 039 respectively To achieve 32-nm node of the next generation with water immersion lithography, we must use higher NA but yet severe k1 The combination of the strong RET, polarization and multi-exposure is thought to be required In order to resolve severe k1 (<03), the double patterning is thought as a promising candidate technology, though the disadvantageous points will appear such as very severe alignment accuracy and the twice process of wafer In this report, we will discuss some RETs such as double dipole lithography(DDL), double patterning lithography(DPL) and alt-PSM that have sufficient printing performance through various pitches of 32-nm node We evaluate the effect and the performance of the selected lithography side RETs and mask material RETs for each, using optical simulation software

Proceedings ArticleDOI
06 Oct 2006
TL;DR: In this article, an innovative optical metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals, was presented to examine photomasks at two stages of mask manufacturing process.
Abstract: The fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Traditionally, CD-SEM has been the instrument of choice for the measurement of width; AFP (Atomic Force Profilometer) or conventional profilometer for the measurement of depth; and Interferometer for the measurement of phase shift and transmittance of the phase shift material. We present an innovative optical metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analysis is performed using Forouhi-Bloomer dispersion equations, in conjunctions with Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from 190 - 1000 nm) for all materials present in the structure. In the current study, the method described above was used to examine photomasks at two stages of mask manufacturing process: After Etch Inspection (AEI) and After Strip Inspection (ASI). The results were compared with the measurements taken on the same samples using conventional CD-SEM. Two comparison studies were conducted - global CD uniformity and CD linearity. The CD linearity study demonstrated excellent correlation between the values of grating line width obtained using this new optical reflectometry approach and a CD-SEM for the grating structures of two pitches (760 nm and 1120 nm). The global CD uniformity study revealed that this presented reflectometry method can be used to produce CD uniformity maps which demonstrate excellent correlation with the results obtained using a conventional CD-SEM. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process.

Patent
23 Mar 2006
TL;DR: In this article, a method for manufacturing halftone phase shift mask approximating the dimensional accuracy of a phase shift layer pattern of a light semi-transmitting part to design dimension is presented.
Abstract: PROBLEM TO BE SOLVED: To provide a method for manufacturing halftone phase shift mask approximating the dimensional accuracy of a phase shift layer pattern of a halftone phase shift mask which has a light shielding part partially formed in a light semi-transmitting part to design dimension and capable of manufacturing a non-defective article without depending on a technique of correcting a defect itself. SOLUTION: The method for manufacturing halftone phase shift mask is composed of the following processes: (1) laminating a phase shift layer, a thin film metal layer and a first resist layer; (2), (3), (4) forming a first resist layer pattern, a thin film metal layer first pattern 8b and a phase shift layer pattern 3b, respectively; (5) peeling the first resist layer pattern; processes (6), (7), (8), (9) forming a light shielding layer 9a on the whole surface other than an alignment mark light transmission part, a second resist layer 6a, a second resist layer pattern 6b, and a light shielding layer pattern 9b and a thin film metal layer second pattern 8c, respectively; and peeling the second resist layer pattern 6b. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
Lars W. Liebmann1, Zachary Baum1
20 Jan 2006
TL;DR: In this article, a method of designing a mask for projecting an image of an integrated circuit design in lithographic processing is presented. But the method is not suitable for the use of a large number of critical width segments.
Abstract: A method of designing a mask for projecting an image of an integrated circuit design in lithographic processing, wherein the integrated circuit layout has a plurality of segments of critical width. The method comprises creating a first mask design by aligning mask features used to assist in projecting critical width segments with the critical width segments of the integrated circuit design, such that the first mask design meets predetermined manufacturability design rules, and creating a second mask design by aligning mask features with the critical width segments of the integrated circuit design, such that the second mask design meets predetermined lithographic design rules in regions local to the critical width segments. The method then includes identifying design features of the second mask design that violate the predetermined manufacturability design rules, and then creating a third mask design derived from the second mask design wherein the mask features of the second mask design that violate the predetermined manufacturability rules are selectively replaced by mask features from the first mask design so that the third mask design meets the predetermined manufacturability design rules. By way of example, the mask features used to assist in projecting critical width segments may comprise alternating phase shifting regions or sub-resolution assist features.

Patent
Pei-Yang Yan1
21 Sep 2006
TL;DR: In this article, a multilayer (ML) stack with a peripheral region that is rendered substantially opaque for a desired wavelength of radiation by localized heating is described, and a first and second film applied to the ML stack is selected to provide desired phase shift and attenuation.
Abstract: A photomask and method for fabricating a photomask are generally described. In one example, a photomask includes a substrate, a multilayer (ML) stack having a peripheral region that is rendered substantially opaque for a desired wavelength of radiation by localized heating, and a first and second film applied to the ML stack, the thickness of the first and second films selected to provide desired phase shift and attenuation.