scispace - formally typeset
Search or ask a question

Showing papers in "Journal of Vacuum Science & Technology B in 2006"


Journal ArticleDOI
TL;DR: In this article, the authors developed a new helium ion microscope which has many advantages over both traditional scanning electron microscopes (SEMs) and focused ion beams (FIBs).
Abstract: ALIS Corporation has developed a new helium ion microscope which has many advantages over both traditional scanning electron microscopes (SEMs) and focused ion beams (FIBs). This new technology is expected to produce an ultimate focused spot size of 0.25nm. This high resolution is attributed to the high source brightness (B>4×109A∕cm2sr), low energy spread (ΔE∕E∼2×10−5), and small diffraction effects (λ∼80fm). The interaction of helium ions with matter offers several valuable contrast mechanisms and a surface interaction volume which is much smaller than a SEM or conventional FIB.

384 citations


Journal ArticleDOI
TL;DR: In this paper, the van der Waals interactions between MWCNT arrays and various target surfaces over millimeter-sized contact areas were measured over 10N∕cm2 in the normal direction and about 8N ∕ cm 2 in the shear direction with glass surface.
Abstract: Vertically aligned multiwalled carbon nanotube (MWCNT) arrays can mimic the hairs on a gecko’s foot and act as a dry adhesive We demonstrate the van der Waals interactions originated dry adhesion between MWCNT array surfaces and various target surfaces over millimeter-sized contact areas The adhesive strengths were measured over 10N∕cm2 in the normal direction and about 8N∕cm2 in the shear direction with glass surface The adhesion strength over repeated cycles is limited by the relatively poor adhesion of MWCNTs to their growth substrate, which was improved significantly by adding molybdenum to the catalyst underlayer We also measured the interfacial work of adhesion as a fundamental adhesion property at the interface Our measured values of a few tens of mJ∕m2, which falls in the range of typical van der Waals interactions energies, provide a direct proof of the van der Waals dry adhesion mechanism Furthermore, in contrast to other dry adhesives, we show that MWCNT adhesives are electrically and the

231 citations


Journal ArticleDOI
TL;DR: In this article, the first and second order Raman spectra of multiwalled carbon nanotubes (MWNTs) functionalized with oxygenated groups were analyzed using two strong acid purification routes: reflux in concentrated (70%) HNO3 acid for 4h at 80°C and ultrasonification in 3HNO3 (70%): 1H2SO4 (98%) for 8.5h.
Abstract: We present changes in the first and second order Raman spectra of multiwalled carbon nanotubes (MWNTs) functionalized with oxygenated groups. The oxygen groups were introduced onto the nanotube surface through two strong acid purification routes: (1) reflux in concentrated (70%) HNO3 acid for 4h at 80°C and (2) ultrasonification in 3 HNO3 (70%):1H2SO4 (98%) for 8.5h. Raman spectroscopy, using two laser excitation wavelengths (514.5 and 632.8nm), x-ray photoelectron spectroscopy, and thermal gravimetric analysis were employed to study the evolution of the products. All the techniques revealed a higher degree of functionalization for scheme 2 compared to scheme 1. Charge transfer phenomena were manifested by a shift of the C1s core level towards higher binding energies. We found that the intensity of both the D and G energy Raman modes if normalized to the second order mode D* mode follows similar trends upon acid treatments. We interpret this result together with the observed dispersion of G mode as an ind...

225 citations


Journal ArticleDOI
TL;DR: In this article, a magnetically enhanced, inductively coupled plasma ion source has been developed to address focused ion beam (FIB) applications not satisfied by the liquid metal ion source (LMIS) based FIB.
Abstract: A high brightness plasma ion source has been developed to address focused ion beam (FIB) applications not satisfied by the liquid metal ion source (LMIS) based FIB. The plasma FIB described here is capable of satisfying applications requiring high mill rates (>100μm3∕s) with non-gallium ions and has demonstrated imaging capabilities with sub- 100-nm resolution. The virtual source size, angular intensity, mass spectra, and energy spread of the source have been determined with argon and xenon. This magnetically enhanced, inductively coupled plasma source has exhibited a reduced brightness (βr) of 5.4×103Am−2sr−1V−1, with a full width half maximum axial energy spread (ΔE) of 10eV when operated with argon. With xenon, βr=9.1×103Am−2sr−1V−1 and ΔE=7eV. With these source parameters, an optical column with sufficient demagnification is capable of forming a sub-25-nm spot size at 30keV and 1pA. The angular intensity of this source is nominally three orders of magnitude greater than a LMIS making the source more a...

145 citations


Journal ArticleDOI
TL;DR: In this article, the effect of different precursor chemistries in view of the above mentioned factors was investigated, and a number of factors which must be considered while designing a successful area selective ALD process have been determined and are briefly discussed.
Abstract: Area selective atomic layer deposition (ALD) of titanium dioxide using polymer films as masking layers has been investigated. A number of factors which must be considered while designing a successful area selective ALD process have been determined and are briefly discussed. Reactivity of the polymer with the ALD precursor species, diffusion of ALD precursors through the polymer mask, and remnant precursor content in the masking film during ALD cycling are key factors. This article investigates the effect of different precursor chemistries in view of the above mentioned factors. Titanium tetrachloride and titanium isopropoxide have been used as two different metal precursors in conjunction with poly(methyl methacrylate) films as photodefinable masking layers. Processing problems arising from factors such as diffusion of precursors through the masking layer can be solved through careful choice of ALD precursors.

132 citations


Journal ArticleDOI
TL;DR: In this paper, a comprehensive model of radiation-induced carbon contamination of extreme ultraviolet (EUV) optics is presented, which describes the key processes that contribute to the deposition of a carbon film on a multilayer optic when the optic is exposed to EUV radiation in the presence of residual hydrocarbons.
Abstract: A comprehensive model of radiation-induced carbon contamination of extreme ultraviolet (EUV) optics is presented. The mathematical model describes the key processes that contribute to the deposition of a carbon film on a multilayer optic when the optic is exposed to EUV radiation in the presence of residual hydrocarbons. These processes include the transport of residual hydrocarbons to the irradiated area, molecular diffusion across the optic surface, and the subsequent dissociation or “cracking” of the hydrocarbon by both direct EUV ionization and secondary electron excitation. Model predictions of carbon growth are compared to measurements taken on optics exposed to EUV in the presence of residual hydrocarbons. Model estimates of hydrocarbon film growth under various conditions of hydrocarbon partial pressures and EUV power demonstrate the sensitivity of film growth to varying operating conditions. Both the model and experimental data indicate that the predominant cause of hydrocarbon dissociation is bo...

117 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists is discussed and a discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented.
Abstract: This article presents the results about the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists. Cold development shows improved resolution and contrast for resists that are exposed by polymer chain scission. It does not work for chemically amplified resists. A discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented. Results for 13 nm structures obtained after metal liftoff using a 30 kV e-beam tool using ZEP 520 resist are shown. These results have impact in the photomask industry and other manufacturers that require squeezing out as much resolution out of their existing tools and materials. It is found that, even with the improvement by cold development, there is a “shot noise” of 2% uncertainty limit that is not surpassed for resists exposed at 100kV. This explains why high throughput and high resolution electron-beam nanolithography is n...

116 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe the plasma enhanced chemical vapor deposition growth of vertically aligned carbon nanotubes and how well controlled arrays of such structures can be grown, and how high current densities of ∼1A∕cm2, under direct current and 1.5GHz direct modulation, can be obtained from CNT cathodes.
Abstract: Most long-range telecommunication systems are based upon microwave links. The transmitters use microwave amplifiers which in the very near future will be required to work at up to 30–100GHz with output power in the region of a few tens of watts. Carbon nanotubes (CNTs), which exhibit extraordinary field emission properties because of their high electrical conductivity, ideal high aspect ratio whisker-like shape for geometrical field enhancement, and remarkable thermal stability, can be used as the emitter in such applications. This article will describe the plasma enhanced chemical vapor deposition growth of vertically aligned carbon nanotubes, and how well controlled arrays of such structures can be grown. We will also describe how high current densities of ∼1A∕cm2, under direct current and 1.5GHz direct modulation, can be obtained from CNT cathodes. These CNT cold cathodes offer considerable weight and size savings over conventional hot cathodes used in microwave applications (e.g., SATCOM, radar).

116 citations


Journal ArticleDOI
TL;DR: In this paper, a set of 24 potential alloying elements for interconnect applications in integrated circuits is presented, including Pd, Au, Al, Ag, Nb, Cr, B, Ti, In, and Mn.
Abstract: To address the future use of alloying elements for Cu interconnect applications in integrated circuits, first, available bulk experimental data such as residual resistivity per at. % solute and binary phase diagrams are used to arrive at a set of 24 potential elements. Next, experimental results in thin films and lines allow the authors to arrive at a smaller set that includes ten elements, namely, Pd, Au, Al, Ag, Nb, Cr, B, Ti, In, and Mn, with higher priority and six, namely, Zn, V, C, Mg, P, and Sn with lower priority for further studies. These additional studies are needed before a strong case for or against alloying additions to Cu can be made. The available thin film and line data are summarized in a series of tables that should prove useful for the readers. In particular, the thin film data allow the authors to obtain an effective average residual resistivity (EARR) per at. % solute that combines the effects of impurity scattering, second phase precipitates, and grain size refinement resulting from...

108 citations


Journal ArticleDOI
TL;DR: In this article, the authors used electric fields to both confine and steer an electrospun polymer jet for controlled deposition of functional materials, and used an electrode between the electrospinning tip and grounded sample to suppress the chaotic whipping mode, thereby focusing the characteristic spot size of the deposited fibers to a smaller diameter.
Abstract: Electrospinning can be used to deposit a wide variety of nanoscale polymeric fibers that have electrical, optical, or biological properties of interest. While there have been many studies of material properties, the typical deposited nanofibers are in the form of a randomly oriented mat. The authors are interested in forming functional devices utilizing the properties of the individual nanofibers. To this end they have used electric fields to both confine and steer an electrospun polymer jet for controlled deposition of functional materials. They have used an electrode between the electrospinning tip and grounded sample to suppress the chaotic whipping mode, thereby focusing the characteristic spot size of the deposited fibers to a smaller diameter. The same electrode setup was then modified to produce a time-varying steering field. Using this system, they have deposited isolated electrospun polymer fibers in a controlled fashion. They have also demonstrated that it is possible to terminate electrospun fi...

105 citations


Journal ArticleDOI
TL;DR: In this article, the authors studied doping profiles, activation levels, and defect annealing of P introduced in Ge by ion implantation at different doses and energy, and annealed under various conditions by rapid thermal cooling.
Abstract: We have studied doping profiles, activation levels, and defect annealing of P introduced in Ge by ion implantation at different doses and energy, and annealed under various conditions by rapid thermal annealing. Common to all implant energies, ion-implanted P in Ge exhibits a “box profile” at high implant doses, when a sufficiently high thermal budget is applied—similarly to the concentration-dependent diffusion of P introduced in Ge from a high-concentration solid source. Upon proper annealing conditions, the active P concentration is limited to (5–6)×1019at.∕cm3, implying a 50% activation level of the total retained atoms for high-dose implants and 100% for the low-dose implants. A low thermal budget is sufficient to fully regrow the amorphous layer formed by high-dose P implantations, without evidence of residual defects in the regrown germanium layer and at the end of range of the P implant.

Journal ArticleDOI
TL;DR: In this paper, the number of acid molecules generated by an extreme ultraviolet (EUV) photon was evaluated using an acid sensitive dye, and the observed acid yield was well explained by the ionization model for acid generation originally proposed for chemically amplified electron beam resists.
Abstract: A highly sensitive extreme ultraviolet (EUV) resist is strongly needed to reduce the development costs of high power EUV sources. Although highly sensitive chemically amplified resists based on acid-catalyzed reactions have been used in mass production lines, the chain length of acid catalytic reactions must be suppressed within several nanometers to meet the resolution requirement below the 32nm technology node. Under such circumstances, the initial acid yield produced by EUV exposure is critical to the formation of ultrafine patterns. Using an acid sensitive dye, the authors evaluated the number of acid molecules generated by an EUV photon. The observed acid yield was well explained by the ionization model for acid generation originally proposed for chemically amplified electron beam resists.

Journal ArticleDOI
TL;DR: In this article, a two-mask bulk micromachining process was used to develop silicon resonant microcantilevers for the measurement of the absolute pressure, and a theoretical description of the resonating microstructure was given according to different molecular and viscous regimes.
Abstract: This work is focused on the developing of silicon resonant microcantilevers for the measurement of the absolute pressure. The microcantilevers have been fabricated with a two-mask bulk micromachining process. The variation in resonance response of microcantilevers was investigated as a function of pressure (10−1–105Pa), both in terms of resonance frequency and quality factor. A theoretical description of the resonating microstructure is given according to different molecular and viscous regimes. Also a brief discussion on the different quality factors contributions is presented. Theoretical and experimental data show a very satisfying agreement. The microstructure behavior demonstrates a certain sensitivity over a six decade range and the potential evolution of an absolute pressure sensor working in the same range.

Journal ArticleDOI
TL;DR: In this paper, a toolkit is developed to controllably integrate single-walled carbon nanotubes in device structures by the use of floating potential metal posts and appropriate electrode geometries, as designed using electric field simulations, and used it to fabricate structures such as crossed nanotube junctions.
Abstract: The precise placement of single-walled carbon nanotubes (SWCNTs) in device architectures by ac dielectrophoresis involves the optimization of the electrode geometry, applied voltage and frequency, load resistance, and type of nanotube sample used. The authors have developed a toolkit to controllably integrate SWCNTs in device structures by the use of floating potential metal posts and appropriate electrode geometries, as designed using electric field simulations, and used it to fabricate structures such as crossed nanotube junctions.

Journal ArticleDOI
TL;DR: In this paper, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed, which can reduce the total number of processing steps.
Abstract: Advanced integrated circuits require eight or more levels of wiring to transmit electrical signal and power among devices and to external circuitry. Each wiring level connects to the levels above and below it through via layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around 20 process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. Through use of a template with two tiers of patterning, a single imprint lithography step can replace two photolithography steps. Further improvements in efficiency are possible if the imprint material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.

Journal ArticleDOI
TL;DR: In this paper, the authors formulated acid generation in a chemically amplified resist for ionizing radiation and analyzed the dependence of acid yield on acidgenerator concentration, the details of acid generation paths were clarified.
Abstract: Acid-related matters are a critical issue in a chemically amplified resist, in which photo- or radiation (ionizing radiation)-generated acids drive pattern formation reactions in exposed areas. The photosensitization of resist materials has been formulated by Dill et al. [IEEE Trans. Electron. Dev.22, 445 (1975)]. The applicability of the formulation by Dill et al. to acidgeneration in chemically amplified photoresists has been proven by many researchers. The acid yields in photoresists are predicted well by the formulation of Dill et al. However, the formulation of Dill et al. cannot be applied to chemically amplified resists for ionizing radiation such as electron beams and extreme ultraviolet rays because polymerionization significantly contributes to acidgeneration in these resists. In this study, the authors formulated acidgeneration in a chemically amplified resist for ionizing radiation. By the analysis of the dependence of acid yield on acidgenerator concentration, the details of acidgeneration paths were clarified.

Journal ArticleDOI
TL;DR: In this paper, the suitability of ZrO2 as a high-k dielectric for GaN material systems was investigated, and thin Zr films (4nm) were deposited by electron-beam evaporation at room temperature on n-type GaN and Al0.22Ga0.78N(29nm)∕GaN high electron mobility transistor (HEMT) structures.
Abstract: We investigated the suitability of ZrO2 as a high-k dielectric for GaN material systems. Thin Zr films (4nm) were deposited by electron-beam evaporation at room temperature on n-type GaN and Al0.22Ga0.78N(29nm)∕GaN high electron mobility transistor (HEMT) structures. The Zr-coated samples were subsequently oxidized at temperatures in the range of 200–400 °C in an ozone environment. Atomic force microscopy studies after oxidation show that the ZrO2 forms a conformal layer on the underlying GaN template. Cross-section transmission electron microscopy studies showed little intermixing of the ZrO2 with the AlGaN∕GaN. The relative dielectric constant of the ZrO2 was determined to be 23. In comparison with HEMTs with bare gates (no dielectric between the gate metal and AlGaN), the HEMTs with ZrO2 showed two to three order of magnitude reduction in gate leakage current. Optimization of the HEMT process on sapphire substrates with ZrO2 under the gates yielded devices with powers of 3.8W∕mm and 58% power-added eff...

Journal ArticleDOI
TL;DR: In this paper, the oxidation of silicon nanowires with an average radius of 37nm was investigated using the vapor-liquid-solid technique with Au to mediate the growth of the nanowire.
Abstract: Silicon nanowires have received attention for nanoscale electronic devices and chemical and biological sensors. The thermal oxide grown on the silicon nanowires could be used in a variety of devices, so the oxidation of the silicon nanowires is investigated in this work. Silicon nanowires with an average radius of 37nm were grown for these experiments using the vapor-liquid-solid technique with Au to mediate the growth. Etching of the Au tips from the silicon nanowires was performed prior to oxidation to avoid local accelerated oxidation at the nanowire tip. Oxidation was performed at 700°C for 1–121h and at 650 and 750°C for 4h in O2, and the oxidized nanowires were examined by transmission electron microscopy. Depending on the conditions for oxidation, an oxide shell as thin as 6nm was observed, or the entire nanowire was oxidized. The kinetics of oxidation differ from those of a planar silicon wafer and are discussed in this work.

Journal ArticleDOI
TL;DR: In this paper, the field emission properties of a bundle of multiwalled carbon nanotubes were investigated under an ordinary vacuum pressure of 5×10−7Torr for a practical use.
Abstract: To develop a microfocused x-ray source, field emission properties of a bundle of multiwalled carbon nanotubes were investigated under an ordinary vacuum pressure of 5×10−7Torr for a practical use. Total emission current reached up to 1.3mA at an applied voltage of −7kV. An emitted electron beam was focused on a Cu anode by using a simple electrostatic lens and excited an x ray with the source size of less than 30μm. X-ray transmission images were taken as a preliminary demonstration for a microfocused x-ray source and clear images whose resolutions were nearly equal to the source size of x ray were easily obtained.

Journal ArticleDOI
TL;DR: In this paper, the open-gate AlGaN∕GaN high electron mobility transistor (HEMT) structures were investigated in aqueous solutions and polar liquids, and the sensitivity for the potential change was 57.5mV∕pH, very close to the theoretical value of 58.9mVΩpH at 24°C for the Nernstian response to H+ ions.
Abstract: Liquid-phase sensing characteristics of open-gate AlGaN∕GaN high electron mobility transistor (HEMT) structures were investigated in aqueous solutions and polar liquids. In de-ionized water, the open-gate HEMT clearly showed good drain I-V characteristics with current saturation and pinch-off behavior, very similar to I-V characteristics of typical Schottky-gate HEMTs. We observed a fine parallel shift in the transfer curves according to change in the pH value in a solution, indicating the corresponding potential change at the AlGaN surface. The sensitivity for the potential change was 57.5mV∕pH, very close to the theoretical value of 58.9mV∕pH at 24°C for the Nernstian response to H+ ions. In the low drain bias region, the drain current linearly decreased with the pH value. This also indicated a systematic potential change at the AlGaN surface due to pH change. The present open-gate device showed a fast response to the pH change and a stable operation at fixed pH values. A possible mechanism for the pH r...

Journal ArticleDOI
TL;DR: In this paper, various deposition parameters, annealing treatments, and stochastic properties of thin-film transistors have been explored and shown to have a significant effect on device performance.
Abstract: Indium gallium oxide-based thin-film transistors (TFTs) are formed using rf magnetron sputtering of the channel layer. These TFTs exhibit qualitatively ideal characteristics, including excellent drain current saturation. Various deposition parameters, annealing treatments, and stoichiometries are explored. Varying the oxygen partial pressure is found to have a significant effect on device performance. Decreasing the oxygen partial pressure increases the incremental channel mobility μinc while decreasing (becomes more negative) the turn-on voltage Von. Increasing indium concentration of the channel material increases μinc, while decreasing Von. The maximum value of μinc, ∼27cm2V−1s−1, is obtained by annealing at 600°C, with corresponding Von and drain current on-to-off ratio values of approximately −14V and >106, respectively. Additionally, TFTs subjected to a 200°C postdeposition annealing exhibit μinc and Von of ∼19cm2V−1s−1 and 2V, respectively.

Journal ArticleDOI
TL;DR: In this article, the authors employ a methodology based on established approaches for determining the critical thickness for strain relaxation in planar films, to determine critical dimensions for coherently strained coaxial nanowire heterostructures.
Abstract: We employ a methodology, based on established approaches for determining the critical thickness for strain relaxation in planar films, to determine critical dimensions for coherently strained coaxial nanowire heterostructures. The model is developed and executed for various specific core-shell heterostructures in [111] zinc blende and [0001] wurtzite geometries. These calculations reveal that critical dimensions in such heterostructures can be quantified by a unique critical core radius and a critical shell thickness, which is dependent on the core radius. It is anticipated that this work will serve as a guide to determine the feasibility of specific coherently strained nanowire heterostructure designs.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated damage mechanisms of nanoporous ultra low k (ULK) materials with different overall porosities due to the ashing process and showed that the pore interconnectivity is one of the key parameters that determine ashing damage.
Abstract: Plasma-based ashing of photoresist masks after pattern transfer is a common processing step in the fabrication of integrated circuits. In this work we investigated damage mechanisms of nanoporous ultra low k (ULK) materials with different overall porosities due to the ashing process. Oxygen-, nitrogen- and hydrogen-based photoresiststripping using direct and remote plasma processes were examined. Ellipsometry, x-ray photoelectron spectroscopy,secondary ion mass spectroscopy, and transmission electron microscopy were utilized to study the damage layer thickness, physical (pore morphology), and chemical modifications of the nanoporoussilica thin films after exposure to the O 2 -, N 2 - or H 2 -based ashing processes. As a result of the plasma exposure, carbon groups in nanoporoussilica can be removed from the ULK layers which is also accompanied by material densification. We find severe ashing damage of ULK materials after O 2 -based ashing using both direct and remote discharges. N 2 and H 2 discharges also damage ultralow k materials for direct plasma ashing processes which are accompanied by low energy ion bombardment of the substrates. The introduction rate and degree of the ULK materials modifications correlates with the overall porosity. We show that the pore interconnectivity is one of the key parameters that determine ashing damage. ULK damage is greatly reduced for remote N 2 or H 2 discharges, but the resist removal rates are impractically low if the substrate is at room temperature. We show that both acceptable photoresist stripping rates and ULK damage levels can be achieved for remote H 2 plasma ashing processes if the substrate temperature is 250 ° C and higher.

Journal ArticleDOI
TL;DR: In this paper, a ZnO nanorod array was fabricated on buffer layers on Si wafers using a low-temperature solution method and was characterized by various techniques.
Abstract: ZnO nanorod arrays fabricated on ZnO buffer layers on Si wafers were grown using a low-temperature solution method and were characterized by various techniques. Buffer layers were prepared using metal organic chemical vapor deposition and a sputter-oxidation method. Aligned ZnO nanorods were deposited at 90°C on the substrates by a hydrothermal treatment using a zinc salt and aqueous ammonia solution. The ZnO nanorod arrays were characterized by scanning electron microscopy, x-ray diffraction, x-ray photoelectron spectroscopy, Raman spectroscopy, and photoluminescence spectroscopy. The as-grown ZnO nanorod arrays exhibited broad deep-level emission centered at ∼564nm. The intensity of the deep-level emission decreased and band edge emission centered at 379nm appeared after air annealing. Samples annealed in hydrogen showed only band edge emission.

Journal ArticleDOI
TL;DR: In this paper, a SiO2 capping layer on top of Ge prevents the formation of the surface roughness, but has limited impact on the void formation, which originates from vacancy clustering during the implant process.
Abstract: High dose ion implantation of heavy elements in Ge induces a rough surface and profile distortions when measured with secondary ion mass spectrometry. In the case of Sb large subsurface holes are also induced by the implantation. The formation of these subsurface structures starts abruptly at a dose between 5∙1014 and 1015at∕cm2. The addition of a SiO2 capping layer on top of Ge prevents the formation of the surface roughness, but has limited impact on the void formation. These voids originate from vacancy clustering during the implant process. Anneal studies show that it is impossible to remove these structures by annealing, limiting the usefulness of high dose Sb implants in Ge for junction formation. In the case of As implantation a similar surface roughness is seen but no void formation. Adding a cap layer removes the surface roughness in this case and improves the secondary ion mass spectroscopy profiles.

Journal ArticleDOI
TL;DR: In this paper, the influence of substrate temperature during migration-enhanced epitaxy (MEE) process of GaAs epitaxy on a vicinal surface of Ge (100), 6° offcut towards the (111) plane was demonstrated.
Abstract: In this article, we demonstrate the influence of substrate temperature during migration-enhanced epitaxy (MEE) process of GaAs epitaxy on a vicinal surface of Ge (100), 6° offcut towards the (111) plane. It was found that the offcut surface is not the sufficient condition for suppressing the formation of antiphase domains at the GaAs∕Ge interface. Rather, it has to be complemented by low substrate temperature during the MEE process. GaAs grown at 250°C, the lowest temperature among all the samples, exhibits the smoothest surface and best structural and optical qualities, as characterized by atomic force microscopy, cross-sectional transmission electron microscopy, and low-temperature photoluminescence, respectively. At this substrate temperature, As dimers are adsorbed onto the substrate surface more readily with negligible reevaporation, ensuring complete coverage on the Ge surface with double-atomic steps. Complete coverage by As proved to be crucial in preventing the occurrence of inversion boundaries,...

Journal ArticleDOI
TL;DR: In this article, the authors monitored the flow of hot electrons for over several hours using two types of metal-semiconductor Schottky diodes during the platinum catalyzed oxidation of carbon monoxide, and the measurement of continuous chemicurrent indicated that chemical energy of exothermic catalytic reaction was directly converted into hot electron flux in the catalytic nanodiode.
Abstract: Exothermic catalytic reactions induce electronic excitation at the metal surface, leading to the production of energetic hot electrons. We monitored the flow of hot electrons for over several hours using two types of metal-semiconductor Schottky diodes, Pt∕TiO2 or Pt∕GaN, during the platinum catalyzed oxidation of carbon monoxide. The thickness of Pt film used as the catalyst was 5nm, less than the electron mean free path, resulting in the ballistic transport of hot electrons through the metal. The electron flow was detected as a chemicurrent if the excess electron kinetic energy generated by the exothermic reaction was larger than the effective Schottky barrier formed at the metal-semiconductor interface. The measurement of continuous chemicurrent indicated that chemical energy of exothermic catalytic reaction was directly converted into hot electron flux in the catalytic nanodiode. The chemicurrent was well correlated with the turnover rate of CO oxidation separately measured by gas chromatography, sugg...

Journal ArticleDOI
TL;DR: The main technological challenges for chromium (Cr) etch occur on (isolated) dark features of a high load photomask due to the etch critical dimension (CD) bias dependence on the local loading as discussed by the authors.
Abstract: Photomask plasma etching was thoroughly reviewed over wide topics including history, equipment, etchant, absorbers, phase shifters, thermodynamics, and kinetics. Plasma etch obtained industrial applications for photomask fabrication in the 1990s and presently is a critical fabrication step for the “enabling” photomask industry. Among all types of photomasks (binary, embedded attenuated phase-shift mask, and alternating aperture phase-shift mask), chromium (Cr) containing material etching has been the basis and fundamental for all photomask etches. The main technological challenges for Cr etch occur on (isolated) dark features of a high load photomask due to the etch critical dimension (CD) bias dependence on the local loading. It determines the CD features on the Cr layer, phase shifter MoSi layer, and fused silica (quartz) layer. The CD deviation on pattern layers from the nominal value has been a challenge, especially for the Cr state-of-the-art 65nm node photomasks even though data sizing exists. Induc...

Journal ArticleDOI
TL;DR: In this paper, NiO films were prepared on Pt∕NiO∕Pt substrates by rf reactive sputtering and the voltage-current characteristics of the NiO structures showed reproducible resistive switching behaviors at room temperature.
Abstract: NiO films were prepared on Pt∕Ti∕SiO2∕Si substrates by rf reactive sputtering. The voltage-current characteristics of the Pt∕NiO∕Pt structures showed reproducible resistive switching behaviors at room temperature. The high- and low-resistance states were retained without applying an external bias voltage; the high- to low-resistance ratio was greater than 10. To investigate the influence of the oxygen content on the electrical properties, voltage-current characteristics of NiO films grown at various oxygen contents were investigated. As oxygen content increased from 5% to 10%, the resistance value of the NiO film drastically increased, and a resistive switching behavior was observed. However, as the oxygen content increased to 20%, the resistive switching behavior disappeared. The change in switching behavior was discussed in terms of Ni vacancies and compensating holes inside the NiO film. In addition, the memory properties of NiO-based resistive random-access memory were also investigated.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate accurate focused ion beam sculpting of micron-scale curved shapes into initially planar solids by varying the dose per pixel within individual boustrophedonic scans and accounting for the material-specific angle-dependent sputter yield and the ion beam spatial distribution.
Abstract: This work demonstrates accurate focused ion beam sculpting of micron-scale curved shapes into initially planar solids. Sculpting is accomplished by varying the dose per pixel within individual boustrophedonic scans and accounting for the material-specific angle-dependent sputter yield and the ion beam spatial distribution. We refine this technique by demonstrating how a range of preferred dwell times leads to improved sculpting. An optimized dwell time range is delineated by two effects. Excessively large dwell times lead to enhanced deposition of ejected species, asymmetric milled features (when symmetric features are intended), and depths greater than intended values. These effects occur for dwell times such that the depth removed per pixel in a given scan is on the order of the width of the focused ion beam. On the other end of the dwell time range, inordinately low times lead to undesired ion milling outside targeted areas. Milling outside targeted regions, such as a circle or an ellipse, can occur be...