scispace - formally typeset
Proceedings ArticleDOI

Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test

Reads0
Chats0
TLDR
The authors show that glitching activity on nodes must be considered in order to correctly handle constraints on instantaneous peak power and include a power profiler that can analyze a pattern source for violations and a PODEM-based pattern generation engine for generating power-safe patterns.
Abstract
Excessive dynamic voltage drop in the power supply rails during test mode is known to result in false failures and impact yield when testing devices that use low-cost wire-bond packages. Identifying and debugging such test failures is a complex and effort-intensive process, especially when scan compression is involved. From a design cycle-tune view point, it is best to avoid this problem by generating "power-safe" scan patterns. The generation of power-safe patterns must take into consideration the DFT architecture, physical design, tuning and power constraints. In this paper, the authors propose such a framework and show experimental results on some benchmark circuits. The framework can address a non-uniform power grid and region-based power constraints. The authors show that glitching activity on nodes must be considered in order to correctly handle constraints on instantaneous peak power. The framework includes a power profiler that can analyze a pattern source for violations and a PODEM-based pattern generation engine for generating power-safe patterns.

read more

Citations
More filters
Journal ArticleDOI

Power Supply Noise Reduction for At-Speed Scan Testing in Linear-Decompression Environment

TL;DR: A novel integrated automatic test pattern generation scheme that efficiently and effectively performs compressible low-capture-power X -filling in the linear-decompressor-based test compression environment is proposed.
Proceedings ArticleDOI

Formal Test Point Insertion for Region-based Low-Capture-Power Compact At-Speed Scan Test

TL;DR: This work introduces a novel concept of Low-Capture-Power Test Points (LCP-TPs), which are inserted to reduce switching activity in critical High- Capture-Power (HCP) regions, and also helps in retaining high test compaction capability.
Proceedings ArticleDOI

A Transition Isolation Scan Cell Design for Low Shift and Capture Power

TL;DR: Experimental results on larger ISCAS'89, ITC'99, and IWLS'05 benchmark circuits show that the proposed scan cell design lowers capture power consumptions with reasonable CPU times and test set inflation.
Proceedings ArticleDOI

A novel post-ATPG IR-drop reduction scheme for at-speed scan testing in broadcast-scan-based test compression environment

TL;DR: This paper proposes a novel and practical CA (Compression-Aware) test modification scheme for reducing IR-drop in the widely-used broadcast-scan based test compression environment and can achieve significant IR- drop reduction even when a test cube only has a small number of X-bits.
Proceedings ArticleDOI

Power and noise aware test using preliminary estimation

TL;DR: A power and noise aware scan test method that reduces IR-drop for both shift and capture mode in scan test using power-aware DFT and ATPG based on the preliminary power/noise estimation for test.
References
More filters
Book

Digital Systems Testing and Testable Design

TL;DR: The new edition of Breuer-Friedman's Diagnosis and Reliable Design ofDigital Systems offers comprehensive and state-ofthe-art treatment of both testing and testable design.
Journal ArticleDOI

An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits

TL;DR: PODEM (path-oriented decision making) is a new test generation algorithm for combinational logic circuits that uses an implicit enumeration approach analogous to that used for solving 0-1 integer programming problems and is significantly more efficient than DALG over the general spectrum of combinational Logic circuits.
Journal ArticleDOI

Embedded deterministic test

TL;DR: This paper presents a novel test-data volume-compression methodology called the embedded deterministic test (EDT), which reduces manufacturing test cost by providing one to two orders of magnitude reduction in scan test data volume and scan test time.
Journal ArticleDOI

Survey of low-power testing of VLSI circuits

TL;DR: The author reviews low-power testing techniques for VLSI circuits with a discussion of power consumption that gives reasons for and consequences of increased power during test.
Proceedings ArticleDOI

A case study of ir-drop in structured at-speed testing

TL;DR: This paper discusses the prac- tical issues associated with power consumption during at-speed tests, and delineates in more detail the nature of power-related phenomena encountered in structured speed tests.
Related Papers (5)