scispace - formally typeset
Open Access

Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods Maximum chip performance under peak permissible temperature limits may be achieved with the help of combined electrical and thermal simulation of VLSI circuits.

TLDR
In this article, the authors present a brief discussion of key sources of power dissipation and their temperature relation in CMOS VLSI circuits, and techniques for full-chip temperature calculation with special attention to its implications on the design of high-performance, low-power very large scale integration (VLSI) circuits.
Abstract
The growing packing density and power con- sumption of very large scale integration (VLSI) circuits have made thermal effects one of the most important concerns of VLSI designers. The increasing variability of key process parameters in nanometer CMOS technologies has resulted in larger impact of the substrate and metal line temperatures on the reliability and performance of the devices and interconnec- tions. Recent data shows that more than 50% of all integrated circuit failures are related to thermal issues. This paper presents a brief discussion of key sources of power dissipation and their temperature relation in CMOS VLSI circuits, and techniques for full-chip temperature calculation with special attention to its implications on the design of high-performance, low-power VLSI circuits. The paper is concluded with an over- view of techniques to improve the full-chip thermal integrity by means of off-chip versus on-chip and static versus adaptive methods.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

I and i

Kevin Barraclough
- 08 Dec 2001 - 
TL;DR: There is, I think, something ethereal about i —the square root of minus one, which seems an odd beast at that time—an intruder hovering on the edge of reality.
Proceedings ArticleDOI

Thermal-induced leakage power optimization by redundant resource allocation

TL;DR: It is shown that there is a power density, hence, temperature, at which the total leakage power will reach its optimal value, and such an optimal resource number can be a better starting point for the subsequent switching-driven low power binding.
Dissertation

Modèles compacts électro-thermiques du premier ordre et considération de bruit pour les circuits 3D

Yue Ma
TL;DR: In this article, a methode de conception globale for le circuit integre 3D dans le domaine electrique, thermique, electrothermique et aussi le bruit is presented.
Dissertation

Graphene Heat Spreaders for Electronics Thermal Management Applications

Yong Zhang
TL;DR: In this paper, thermal chemical vapor deposition (TCVD), liquid phase exfoliation (LPE) from graphite, and reduction of graphene ox- ide (GO) were used to synthesize graphene, and transfer methods were also demonstrated.
References
More filters
Proceedings ArticleDOI

Dynamic power management of complex systems using generalized stochastic Petri nets

TL;DR: A new technique for modeling and solving the dynamic power management (DPM) problem for systems with complex behavioral characteristics such as concurrency, synchronization, mutual exclusion and conflict is introduced.
Proceedings ArticleDOI

Three-dimensional integrated circuits: performance, design methodology, and CAD tools

TL;DR: Three-dimensional integration technologies give digital-circuit designers greater freedom in meeting power and delay budgets that are increasingly interconnect-dominated, according to a suite of circuit design tools developed for this analysis.
Journal ArticleDOI

Fully coupled dynamic electro-thermal simulation

TL;DR: Fully coupled dynamic electro-thermal simulation on chip and circuit level is presented in this paper, where temperature dependent thermal conductivity of silicon is taken into account, thus solving the nonlinear heat diffusion equation.
Journal ArticleDOI

Circuit-level electrothermal simulation of electrical overstress failures in advanced MOS I/O protection devices

TL;DR: Simulation results for an I/O protection device in an advanced MOS process are presented to demonstrate iETSIM's ability to accurately model device behaviour up to the onset of second breakdown.
Proceedings ArticleDOI

Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects

TL;DR: In this article, the impact of vias on the spatial distribution of temperature rise in metal lines and shows that the temperature is highly dependent on the via separation, and the simulation methodology has also been applied to quantify the use of dummy thermal vias as additional heat sinking paths to alleviate the temperature rise of the metal wires for the first time.
Related Papers (5)