scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 1998"


Journal ArticleDOI
TL;DR: Wafer bonding allows a new degree of freedom in design and fabrication of material combinations that previously would have been excluded because these material combinations cannot be realized by the conventional approach of epitaxial growth.
Abstract: When mirror-polished, flat, and clean wafers of almost any material are brought into contact at room temperature, they are locally attracted to each other by van der Waals forces and adhere or bond. This phenomenon is referred to as wafer bonding. The most prominent applications of wafer bonding are silicon-on-insulator (SOI) devices, silicon-based sensors and actuators, as well as optical devices. The basics of wafer-bonding technology are described, including microcleanroom approaches, prevention of interface bubbles, bonding of III-V compounds, low-temperature bonding, ultra-high vacuum bonding, thinning methods such as smart-cut procedures, and twist wafer bonding for compliant substrates. Wafer bonding allows a new degree of freedom in design and fabrication of material combinations that previously would have been excluded because these material combinations cannot be realized by the conventional approach of epitaxial growth.

658 citations


Book
23 Nov 1998
TL;DR: In this paper, the authors present the basic interactions between flat surfaces, including the influence of Particles, Surface Steps, and Cavities, and thermal treatment of Bonded Wafer Pairs.
Abstract: Basics of Interactions Between Flat Surfaces. Influence of Particles, Surface Steps, and Cavities. Surface Preparation and Room-Temperature Wafer Bonding. Thermal Treatment of Bonded Wafer Pairs. Thinning Procedures. Electrical Properties of Bonding Interfaces. Stresses in Bonded Wafers. Bonding of Dissimilar Materials. Bonding of Structured Wafers. Mainstream Applications. Emerging and Future Applications. Index.

602 citations


Journal ArticleDOI
01 Aug 1998
TL;DR: Wafer-to-wafer bonding processes for microstructure fabrication are categorized and described in this article, which have an impact in packaging and structure design, including direct bonds, anodic bonds and bonds with intermediate layers.
Abstract: Wafer-to-wafer bonding processes for microstructure fabrication are categorized and described. These processes have an impact in packaging and structure design. Processes are categorized into direct bonds, anodic bonds, and bonds with intermediate layers. Representative devices using wafer-to-wafer bonding are presented. Processes and methods for characterization of a range of bonding methods are discussed. Opportunities for continued development are outlined.

478 citations


Journal ArticleDOI
TL;DR: In this paper, B+H co-implanted silicon wafers were first implanted at room temperature by B+ with 5.0×1012 to 5.5×1016 ions/cm2 at an energy which locates the H-peak concentration in the silicon wafer at the same position as that of the implanted boron peak.
Abstract: Silicon wafers were first implanted at room temperature by B+ with 5.0×1012 to 5.0×1015 ions/ cm2 at 180 keV, and subsequently implanted by H2+ with 5.0×1016 ions/cm2 at an energy which locates the H-peak concentration in the silicon wafers at the same position as that of the implanted boron peak. Compared to the H-only implanted samples, the temperature for a B+H coimplanted silicon layer to split from its substrate after wafer bonding during a heat treatment for a given time is reduced significantly. Further reduction of the splitting temperature is accomplished by appropriate prebonding annealing of the B+H coimplanted wafers. Combination of these two effects allows the transfer of a silicon layer from a silicon wafer onto a severely thermally mismatched substrate such as quartz at a temperature as low as 200 °C.

203 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe a new way of fabricating integrated microfluidic elements in glass by employing a matrix of underpinning posts and a thin wall, surrounding etched flow channels, an efficient sealing of glass chips substrates to thin cover glass can be accomplished.
Abstract: In this report we describe a new way of fabricating integrated microfluidic elements in glass. By employing a matrix of underpinning posts and a thin wall, surrounding etched flow channels, an efficient sealing of glass chips substrates to thin cover glass can be accomplished. The use of this arrangement enables the overlay sheath of glass to hermetically close the flow channel by fusion bonding while avoiding problems with void or crack formation that are due to dust particles, non-planarity and differences in thermal coefficient of expansion. As an example a structure with a thin cover glass, useful for studying phenomena in capillary electrophoresis utilizing large numerical aperture microscope lenses, was fabricated.

190 citations


Journal ArticleDOI
TL;DR: In this article, the authors measured surface roughness enhancement caused by Ar beam etching and investigated the relationship between roughness and bonding properties such as strength and interfacial voids.
Abstract: Using Ar beam etching in vacuum, strong bonding of Si wafers is attained at room temperature. With appropriate etching time, the bonding occurs spontaneously without any load to force two wafers together. However, surface roughness of the wafers increases during Ar beam etching. Because surface roughness has a strong influence on wafer bonding, long etching time degrades the bonding strength. Using atomic force microscope, we measured surface roughness enhancement caused by Ar beam etching, and investigated the relationship between surface roughness and bonding properties such as strength and interfacial voids. The results agree well with theoretical predictions using elastic theory and energy gain by bond formation. A guideline for successful room-temperature bonding is proposed from these results.

171 citations


Patent
28 Aug 1998
TL;DR: In this paper, a method for chemically bonding semiconductor wafers and other materials to one another without exposing wafer to wet environments, and a bonding chamber for in situ plasma bonding are disclosed.
Abstract: A method for chemically bonding semiconductor wafers and other materials to one another without exposing wafers to wet environments, and a bonding chamber for in situ plasma bonding are disclosed. The in situ plasma bonding chamber allows plasma activation and bonding to occur without disruption of the vacuum level. This precludes rinsing of the surfaces after placement in the chamber, but allows for variations in ultimate pressure, plasma gas species, and backfill gases. The resulting bonded materials are free from macroscopic and microscopic voids. The initial bond is much stronger than conventional bonding techniques, thereby allowing for rougher materials to be bonded to one another. This bonded materials can be used for bond and etchback silicon on insulator, high voltage and current devices, radiation resistant devices, micromachined sensors and actuators, and hybrid semiconductor applications. This technique is not limited to semiconductors. Any material with sufficiently smooth surfaces that can withstand the vacuum and plasma environments may be bonded in this fashion.

168 citations


Journal ArticleDOI
01 Aug 1998
TL;DR: In this paper, the authors discuss three types of vacuum-sealed silicon micromachined pressure sensors that represent the present state-of-the-art in this important area.
Abstract: Considerable progress in silicon pressure sensors has been made in recent years. This paper discusses three types of vacuum-sealed silicon micromachined pressure sensors that represent the present state of the art in this important area. The devices are a capacitive vacuum sensor, a surface-micromachined microdiaphragm pressure sensor, and a resonant pressure sensor. Vacuum sealing for these devices is accomplished using anodic bonding, films deposited using low-pressure chemical vapor deposition, and thermal out-diffusion of hydrogen, respectively. These sensors emphasize high sensitivity, small size, and excellent stability, respectively. The silicon-diaphragm vacuum sensor uses electrostatic force balancing to achieve a wide pressure measurement range.

116 citations


Patent
Dz-Hung Gwo1
03 Apr 1998
TL;DR: The hydroxide-catalyzed bonding method is also simple and inexpensive as mentioned in this paper, and it can form bonds which are not only as precise and transparent as optical contact bonds, but also as strong and reliable as high-temperature frit bonds.
Abstract: The bonding of two materials through hydroxide-catalyzed hydration/dehydration is achieved at room temperature by applying hydroxide ions to at least one of the two bonding surfaces and by placing the surfaces sufficiently close to each other to form a chemical bond between them. The surfaces may be placed sufficiently close to each other by simply placing one surface on top of the other. A silicate material may also be used as a filling material to help fill gaps between the surfaces caused by surface figure mismatches. A powder of a silica-based or silica-containing material may also be used as an additional filling material. The hydroxide-catalyzed bonding method forms bonds which are not only as precise and transparent as optical contact bonds, but also as strong and reliable as high-temperature frit bonds. The hydroxide-catalyzed bonding method is also simple and inexpensive.

107 citations


Journal ArticleDOI
TL;DR: In this paper, low-temperature bonding of Si and SiO 2 by the surface activation method in vacuum has been investigated and shown to be twice as strong as conventional bonding before annealing.
Abstract: We have investigated low-temperature bonding of Si and SiO 2 by the surface activation method in vacuum. In the method, Ar beam etching is used to create a clean surface which has strong bonding ability. The specimens are bonded in the vacuum without exposing them to the atmosphere. The strength of Si/Si bonding prepared at room temperature by the method is equivalent to the bulk strength. SiO 2 /SiO 2 bonding by the method is twice as strong as conventional bonding before annealing. In addition, the bonding prepared by Ar beam is stronger than that prepared by reactive molecule beam etching such as H 2 O and NH 3 . The influence of surface oxidation was examined by exposing an etched Si surface to residual gas in the vacuum chamber. Adsorption of reactive molecules such as H 2 O on the etched surface causes reduction of bonding strength, whereas Ar gas does not affect the bonding. These results mean that a clean surface etched by Ar beam has strong bonding ability even at room temperature.

106 citations


Patent
29 Jul 1998
TL;DR: In this article, a layer of Pd is formed on a first wafer and an adhesion layer of chromium (Cr) attaches the palladium layer to the second wafer, and the engaged wafers are annealed to form a palladium-silicide (PdSi) bond.
Abstract: Two wafers are bonded together through an annealing process that maintains temperatures at CMOS compatible levels (i.e., below 500 degrees Celsius). A layer of palladium (Pd) is formed on a first wafer. Preferably an adhesion layer of chromium (Cr) attaches the palladium layer to the first wafer. The palladium layer is engaged with silicon (Si) from a second wafer, and the engaged wafers are annealed to form a palladium-silicide (PdSi) bond between the palladium layer of the first wafer and the silicon of the second wafer. In addition to bonding the first wafer to the second wafer, the palladium-silicon bond may be used to form an electrical connection between the two wafers so that circuits on both wafers may communicate to one another through the palladium-silicon bond.

Journal ArticleDOI
TL;DR: In this paper, a new 3D wafer bonding technology using the adhesive injection method has been proposed, in order to realize a real-time micro-vision system and a real shared memory.
Abstract: A new three-dimensional (3D) wafer bonding technology using the adhesive injection method has been proposed, in order to realize a real-time micro-vision system and a real shared memory. Several key technologies for 3D LSI, such as deep trench formation for buried interconnection, wafer grinding and chemical-mechanical polishing, wafer alignment and wafer bonding using the adhesive injection method, have been developed.

Journal ArticleDOI
TL;DR: In this paper, an overview of the advantages and disadvantages of silicon for electroosmotically driven separation techniques is presented, and some silicon-derived insulating microstructures and their potential application in chemical analysis are also shown.

Journal ArticleDOI
TL;DR: In this paper, the authors studied the bonding between two flat Si substrates with thin metal films and found that complete crystalline grains had been formed across the former interface between the single thin Ti films.
Abstract: We studied the bonding between two flat Si substrates with thin metal films. The bonding was accomplished during thin film sputter deposition on contamination free surfaces of metal films. In this work we used Ti and Pt. Successful bonding of these metal films (each having a thickness of 10–20 nm) occurred at room temperature over the entire bonded area (12 mm × 12 mm). Self-diffusion, particularly at grain boundaries and film surface, was the mechanism for bonding. Suitable metal bonding only occurred if the film surface roughness is sufficiently smaller than the self-diffusion length of metals. Particularly in the bonding of Ti to Ti films, transmission electron microscope observation revealed that complete crystalline grains had been formed across the former interface between the single thin Ti films. The interfaceless bonding can be explained by recrystallization of the Ti lattice due to the high self-diffusion coefficient of Ti. This technique would be applied to bonding of wafers to fabricate thin film devices or microsystems. Moreover, this bonding technology can be used with many different thin film materials and various semiconductor substrates.

Journal ArticleDOI
TL;DR: In this paper, the authors show that if one or both wafers are covered with a native oxide layer, high bonding strengths are reached even at low temperatures, which can be explained by the different diffusion behavior of water molecules through a thick thermal oxide layer on one hand, and through a thin native oxide layers on the other hand.
Abstract: Plain or structured hydrophillic silicon wafers covered with native oxide or with thermally grown oxide layers have been directly bonded at room temperature; afterwards, the samples were annealed at 100°C to 400°C. There is a significant difference in the observed bonding energy depending on the wafer pairing chosen. If one or both wafers are covered with a native oxide layer, high bonding strengths are reached even at low temperatures. This can be explained by the different diffusion behaviour of water molecules through a thick thermal oxide layer on one hand, and through a thin native oxide layer on the other hand. Two different methods for the activation of the wafer surfaces just prior to bonding are described.

Journal ArticleDOI
TL;DR: The mass spectrometer as mentioned in this paper consists of a plasmas electron source for measurand ionisation as well as an ion optic and a mass separator specifically designed for the system's small dimensions.
Abstract: . Its fabrication is based on techniques used in microsystem processing and in particular anisotropic etching, thin film deposition, electroplating, and anodic bonding. The mass spectrometer consists of a plasma electron source for measurand ionisation as well as an ion optic and a mass separator specifically designed for the system’s small dimensions.

Journal ArticleDOI
TL;DR: In this article, the formation of anodic, alkali depleted glass layers and of oxygen enriched interface layers was investigated by means of ex situ and in situ ionbeam analysis, which allows a quantitative depth profiling of different elements, and the drift behavior of oxygen ions toward the compound interface was investigated.
Abstract: Electric field induced ion drift processes in alkali‐borosilicate glasses play a key role in the silicon‐glass or metal‐glass compound formation in anodic bonding processes. By means of ex situ and in situ ion‐beam analysis, which allows a quantitative depth profiling of different elements, the formation of anodic, alkali depleted glass layers and of oxygen enriched interface layers was investigated. Drift rates and depletion layer thicknesses were determined in dependence of the process temperature, bias, and drift time. The drift behavior of cations, including sodium, potassium, calcium, aluminum, and hydrogen, was examined. In addition, the drift of oxygen ions toward the compound interface was investigated. The absence of nonbridging oxygen in the investigated glass, verified by nuclear magnetic resonance investigations, gives rise to the conclusion that the drift behavior of oxygen ions depends mainly on the composition of the "leached" glass surface layer. The results confirm the anodic oxidation as the main mechanism responsible for the interface chemistry. The oxygen enrichment (oxidation) of the metal or silicon anode can be described by a reciprocal logarithmic equation.

Journal ArticleDOI
TL;DR: In this article, Li-Aluminosllicate-β-quartz glass ceramic is used for silicon-to-glass anodic bonding at temperatures below 180 °C.
Abstract: Silicon-to-glass anodic bonding is performed at temperatures below 180 °C using lithium aluminosllicate- β -quartz glass ceramic. High alkaline ion mobility at low temperature, which is required for bonding, and thermal expansion coefficient matching to Si are realized by controlling the composition of the glass ceramic. Bonding is obtained at a lowest temperature of 140 °C. Useful bonding conditions are temperature above 160 °C (applied voltage above 500 V). Since the etch rate of the glass ceramic is five times higher than that of Pyrex glass in HF wet etching and the undercut is very small with a Cr-Au etch mask, three-dimensional structures are easily fabricated. Low-temperature anodic bonding using this type of glass ceramic is useful for the packaging and assembling of MEMS.

Journal ArticleDOI
TL;DR: In this paper, the formation of an anodic alkali-depleted polarization layer and of an oxygen-enriched interface layer has been investigated by means of in situ high-energy ion-beam analysis, which allows a quantitative multielement depth profiling.
Abstract: By means of in situ high-energy ion-beam analysis, which allows a quantitative multielement depth profiling, the formation of an anodic alkali-depleted polarization layer and of an oxygen-enriched interface layer has been investigated. Drift rates and depletion-layer thicknesses are determined as a function of the process temperature, bias and drift time. The drift behaviour of cations including potassium, calcium, aluminium and hydrogen has been examined. Finally, the drift of oxygen ions towards the compound interface is observed. The absence of non-bridging oxygen in TEMPAX, which has been proved by NMR investigations, gives rise to the conclusion that the drift behaviour of oxygen ions depends mainly on the composition of the ‘leached’ glass surface layer. The results confirm anodic oxidation as the main mechanism responsible for the actual interface chemistry.

Journal ArticleDOI
Abstract: In this paper a silicon wafer-to-wafer bonding process is presented where silicon dioxide is used as an intermediate layer. Because the process temperature is very low (120 °C) and because the chemical treatment of the surface before bonding does not damage aluminium patterns, wafers containing electronic circuity can be bonded. The oxide layer gives an electrical insulation between the two wafers. High bond strengths (over 20 MPa) are obtained.

Journal ArticleDOI
TL;DR: In this paper, a new bonding technique is proposed by using localized heating to supply the bonding energy, which is achieved by applying a dc current through micromachined heaters made of gold which serves as both the heating and bonding material.
Abstract: A new bonding technique is proposed by using localized heating to supply the bonding energy. Heating is achieved by applying a dc current through micromachined heaters made of gold which serves as both the heating and bonding material. At the interface of silicon and gold, the formation of eutectic bond takes place in about 5 minutes. Assembly of two substrates in microfabrication processes can be achieved by using this method. In this paper the following important results are obtained: 1) Gold diffuses into silicon to form a strong eutectic bond by means of localized heating. 2) The bonding strength reaches the fracture toughness of the bulk silicon. 3) This bonding technique greatly simplifies device fabrication and assembly processes.

Journal ArticleDOI
TL;DR: In this paper, a low-pressure-encapsulated resonant structure with lateral electrical feedthrough conductors for electrostatic excitation and capacitive detection is presented, which consists of a triple-stack wafer sandwich.
Abstract: Low-pressure-encapsulated resonant structures with lateral electrical feedthrough conductors for electrostatic excitation and capacitive detection are presented. The encapsulated device consists of a triple-stack wafer sandwich. The middle wafer is the silicon substrate with the resonant structure. The top and the bottom substrates are micromachined Pyrex 7740 glass wafers with metal electrodes. The resulting pressure inside the hermetically sealed cavity is 1 mbar, obtained by low-pressure anodic bonding, starting from 10−5 mbar, without using any getter material or gas-evacuation procedure after the bonding. A special electrode design is presented, making it possible to have electrodes on both glass lids using only standard fabrication steps. Low power consumption can be achieved and voltages of only 5 to 10 Vr.m.s. are sufficient for the excitation. A long-term stability test for low-pressure-encapsulated structures shows that after storage for one year (without integrated electrodes) and three months (with integrated electrodes) no leakage has been observed. Finally, a new fabrication technique is investigated to improve the quality factor of the resonator. It consists of using the anodically bonded frame of the silicon structure as a mask for deep glass etching to increase the gap between the electrode wall and the resonator, thus yielding a high Q-factor.

Patent
17 Jun 1998
TL;DR: In this paper, a method of performing a lower temperature bonding technique to bond together two mating pieces of glass includes applying a sodium silicate aqueous solution between the two pieces.
Abstract: A method of performing a lower temperature bonding technique to bond together two mating pieces of glass includes applying a sodium silicate aqueous solution between the two pieces.

Patent
03 Nov 1998
TL;DR: In this paper, a method of depositing a silicon oxide coating on hot glass at a temperature below 600°C was proposed, comprising contacting the hot glass with a gaseous mixture of a source of silicon and oxygen enriched with ozone.
Abstract: A method of depositing a silicon oxide coating on hot glass at a temperature below 600° C. comprising contacting the hot glass with a gaseous mixture of a source of silicon and oxygen enriched with ozone. Preferably, the hot glass in the form of a hot glass ribbon is contacted with the gaseous mixture during the float glass production process downstream of the float bath. Preferred sources of silicon are silanes, alkylsilanes, alkoxysilanes and siloxanes.

Proceedings ArticleDOI
Dz-Hung Gwo1
17 Sep 1998
TL;DR: In this article, the state-of-the-art precision bonding technique for cryogenic fused-silica optics was described for assembling the fused-quartz Gravity Probe-B science instrument, which will be used to prove or disprove Einstein's Theory of General Relativity with unprecedented accuracy and precision.
Abstract: This paper describes the state-of-the-art precision bonding technique for cryogenic fused-silica optics. It was developed for assembling the fused-quartz Gravity Probe-B science instrument, which will be used to prove or disprove Einstein's Theory of General Relativity with unprecedented accuracy and precision. This room-temperature bonding process is based on hydroxide catalysis. The resulting bonding strength is comparable with that of fused silica or fused quartz. The interface is typically 200 nm essentially limited by surface figure mismatch. It is as precise as optical contacting, as reliable as high-temperature frit bonding, as transparent as optical epoxies. So far it is the only bonding approach that meets all the stringent requirements for GP-B's applications at 2.5 Kelvin.

Journal ArticleDOI
U. Kreissig, S. Grigull, K. Lange1, P. Nitzsche, B. Schmidt 
TL;DR: In this article, three particle identifying detection techniques were used to separate the scattered ions and target recoils with respect to their energy and atomic number or mass, and the thermally activated drift of sodium ions has been characterized quantitatively up to a depth of about 1 μm by means of a TOF-E telescope.
Abstract: Although anodic bonding is widely used to join silicon wafers or metals and glass the underlying ion-drift mechanism and interface bonding process are not yet clarified in detail. In situ ERDA with 35 MeV Cl ions was used to study the ion-drift of sodium, oxygen and hydrogen in glass. Three particle identifying detection techniques were used to separate the scattered ions and target recoils with respect to their energy and atomic number or mass. The thermally activated drift of sodium ions has been characterized quantitatively up to a depth of about 1 μm by means of a TOF-E telescope. Activation energies, drift rates and depletion layer thicknesses were determined. A Bragg Ionization Chamber with an energy resolution of ΔEE = 1.1% was used to determine the slight oxygen buildup in the metal layer near the interface to the glass. Hydrogen depth profiles up to a depth of 600 nm were measured by a Si detector with a 17 μm Al range foil. A considerable drift of hydrogen from the “leached” glass surface was found which is strongly correlated with the sodium drift. The simultaneous oxygen drift gives rise to the assumption that a (OH)−-drift takes place. A reaction path for the anodic oxidation process at the metal-glass interface is proposed.

Journal ArticleDOI
TL;DR: Anodic bonding of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 °C to 450 °C as mentioned in this paper.
Abstract: Anodic bonding of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 °C to 450 °C. The composition of the deposited glass is enriched in sodium as compared to the target material. The roughness of the as-deposited films was below 5 run and was found to be unchanged by annealing at 500 °C for 1 h in air. No change in the macroscopic edge profiles of the glass film was found as a function of annealing; however, small extrusions appear when annealing above 450 °C. Annealing of silicon/glass structures in air around 340 °C for 15 min leads to stress-free structures. Bonded wafer pairs, however, show no reduction in stress and always exhibit compressive stress. The bond yield is larger than 95% for bonding temperatures around 350 °C and is above 80% for bonding temperatures higher than 225 °C. Pull testing revealed maximum bond strengths larger than 50 N/mm2 and an average bond strength around 25 N/mm2 for bonding temperatures above 300 °C. Structures bonded at temperatures lower than 300 °C show a near-linear decrease of the bond strength from 25 N/mm2 to 0 N/mm2 at 200 °C. A weak dependence on feature size was observed. For bonding temperatures higher than 300 °C fracture occurs randomly in the bulk of the silicon, whereas for bonding temperatures lower than 300 °C fracture always occurs at the bonding interface. Fracture of the glass itself was not observed.

Journal ArticleDOI
TL;DR: Direct bonding without the use of adhesive materials was demonstrated on a titanium-doped sapphire laser crystal with a bonding surface dimension of 12 mm x 6 mm(2) with no differences in output power or spatial profile in an input pumping condition of 30 mJ.
Abstract: Direct bonding without the use of adhesive materials was demonstrated on a titanium-doped sapphire laser crystal with a bonding surface dimension of 12 mm x 6 mm(2). The bonding surfaces were treated with chemical processes to clean up and to create a hydrophilic (-OH) thin layer for hydrogen bonding. Two different processes of heat treatment performed in succession transformed the hydrogen bonding into direct bonding. The performance of the bonded crystal was tested by laser oscillation with the second harmonics of a Q-switched Nd:YAG laser at a 20-Hz repetition rate. In comparison with a normal laser crystal, there were no differences in output power or spatial profile in an input pumping condition of 30 mJ.

Patent
15 Jul 1998
TL;DR: In this article, a semiconductor IC device is provided with dummy bonding wires to prevent or reduce the wire displacement by blocking the remaining bonding wires from direct exposure to the molding resin flow front in the mold cavity.
Abstract: Semiconductor devices having bonding wires are encapsulated in a fluid molding resin, and the flow front of the molding resin can displace the bonding wires and create a short of the device. A semiconductor IC device is provided with dummy bonding wires to prevent or reduce the wire displacement by blocking the remaining bonding wires from direct exposure to the molding resin flow front in the mold cavity. Wire displacement or sweep of the dummy bonding wires causes the dummy bonding wires to contact their adjacent remaining bonding wires, but this contact does not cause a short in the device. The size of the semiconductor IC device is thereby reduced by increasing the allowable length of the bonding wires in the device, resulting in improved yields and lower production costs.

Journal ArticleDOI
TL;DR: The electrical characteristics of pn junctions formed by direct bonding of silicon wafers in ultra-high vacuum have been quantified in this paper, where the bonding process produces low reverse leakage <1 μA/cm2 and near-ideal forward current.
Abstract: The electrical characteristics of pn junctions formed by direct bonding of silicon wafers in ultra-high vacuum have been quantified The bonding process produces low reverse leakage <1 μA/cm2 and near-ideal forward current The observation of bulk-like bonded interfaces is supported by transmission electron microscopy and infra-red transmission imaging