scispace - formally typeset
Search or ask a question

Showing papers on "Dopant Activation published in 2005"


Journal ArticleDOI
TL;DR: In this paper, the growth of very high-quality Al-doped n-type ZnO epilayers on sapphire substrates using a radiofrequency (rf) magnetron sputtering technique combined with a rapid-thermal annealing was reported.
Abstract: We report on the growth of very high-quality Al-doped n-type ZnO epilayers on sapphire substrates using a radio-frequency (rf) magnetron sputtering technique combined with a rapid-thermal annealing. Photoluminescence (PL) and Hall measurements show that both the optical and electrical properties of the ZnO layers are significantly improved with an increasing annealing temperature up to 900 °C. For example, the samples that are grown at 600 °C and a rf power of 100 W with an Ar∕O2 gas ratio of 1 give an electron concentration of 1.83×1020cm3 and a mobility of 65.6cm2∕Vs, when annealed at 900 °C for 3 min in a nitrogen ambient. Furthermore, x-ray diffraction measurements show that both the as-grown and annealed samples are of excellent crystallinity.

126 citations


Journal ArticleDOI
TL;DR: In this paper, the impact of rapid thermal annealing (RTA) on thin-film polycrystalline silicon (pc-Si) solar cells on glass made by evaporation of amorphous silicon (a-Si), and subsequent solid phase crystallization (SPC), is investigated.
Abstract: In this letter, we investigate the impact of rapid thermal annealing (RTA) on thin-film polycrystalline silicon (pc-Si) solar cells on glass made by evaporation of amorphous silicon (a-Si) and subsequent solid-phase crystallization (SPC). These devices have the potential to deliver low-cost photovoltaic electricity and are named EVA cells (SPC of EVAporated a-Si). The RTA is used to perform a high-temperature (>700°C) process for point defect annealing and dopant activation. RTA processes have predominantly been developed for wafer-based devices yet also have great potential for low-temperature devices such as thin-film pc-Si on glass solar cells. Parameter variations are performed on EVA solar cells to determine optimum values for point defect removal and dopant activation while minimizing dopant diffusion causing junction smearing. The 1-Sun open-circuit voltage, Voc, of the as-crystallized pc-Si devices is rather modest (135mV). However, after RTA and subsequent hydrogen passivation in a rf PECVD plasm...

95 citations


Journal ArticleDOI
TL;DR: In this paper, high quality Al-doped n-type ZnO epilayers have been grown by an rf-magnetron sputtering technique combined with a rapid thermal annealing (RTA) process.
Abstract: High-quality Al-doped n-type ZnO (n-ZnO:Al) epilayers have been grown by an rf-magnetron sputtering technique combined with a rapid thermal annealing (RTA) process. The electrical and optical properties of as-deposited samples are considerably improved upon annealing at 900°C for 3 min in nitrogen ambient. The improvement is attributed to the deoxidation of Al-oxides, i.e., the activation of Al dopants. The samples annealed at 900°C produce a mobility of 65.5 cm2/Vs and a carrier concentration of 1.03×1020 cm-3. It is also shown that the sample surface becomes significantly smoother after annealing. The results show that the RTA process effectively improves the electrical and optical properties of the Al-doped ZnO films.

62 citations


Patent
07 Jul 2005
TL;DR: In this article, a horizontal germanium silicon heterostructure photodetector is proposed to be optically coupled to on-chip and/or off-chip optical waveguide through end-fire or evanescent coupling.
Abstract: A horizontal germanium silicon heterostructure photodetector comprising a horizontal germanium p-i-n diode disposed over a horizontal parasitic silicon p-i-n diode uses silicon contacts for electrically coupling to the germanium p-i-n through the p-type doped and n-type doped regions in the silicon p-i-n without requiring direct physical contact to germanium material. The current invention may be optically coupled to on-chip and/or off-chip optical waveguide through end-fire or evanescent coupling. In some cases, the doping of the germanium p-type doped and/or n-type doped region may be accomplished based on out-diffusion of dopants in the doped silicon material of the underlying parasitic silicon p-i-n during high temperature steps in the fabrication process such as, the germanium deposition step(s), cyclic annealing, contact annealing and/or dopant activation.

60 citations


Journal ArticleDOI
TL;DR: In this article, the formation of highly conductive, ultra-shallow junctions for source/drain extensions was investigated on boron beamline implanted wafers at peak temperatures ranging from 1275 up to 1325°C.
Abstract: A key issue associated with the continuous reduction of dimensions of CMOS transistors is the realization of highly conductive, ultra-shallow junctions for source/drain extensions. Millisecond annealing as an equipment technology provides an ultra-sharp temperature peak of 1.6 ms width which favors dopant activation but nearly suppresses dopant diffusion to form extremely shallow, highly electrically-activated junctions without melting the substrate. On boron beamline implanted wafers the formation of junctions at peak temperatures ranging from 1275 up to 1325 °C was investigated. In the special case of boron, silicon wafers deeply pre-amorphized with Ge were also used. The thermal stability of these boron profile distributions was evaluated by subsequent thermal anneals ranging from 250 to 1050 °C with times from a few seconds to several hundred seconds. From these experiments the deactivation/re-activation mechanism for subsequent annealing can be explained. All the junctions were analyzed by four-point probe measurements; selected samples were analyzed by Hall-effect, secondary ion mass spectrometry (SIMS), and transmission electron microscopy (TEM).

56 citations


Journal ArticleDOI
TL;DR: In this article, further experiments have been carried out to get a better understanding of the mechanisms responsible for boron activation enhancement, and the experimental results shed new light on the interactions among atomic hydrogen, point defects and dopants.
Abstract: The ability to activate greater amounts of dopants is a significant challenge for the realization of shallow junctions in device scaling for Si CMOS technology. Dopant activation is difficult to achieve in shallow junctions due to higher concentrations of dopants and possible formation of dopant clusters. The high temperatures currently used to activate dopants result in increased junction depth and process integration issues with high-k dielectrics. However, lowering the annealing temperature results in lesser dopant activation and problems with transient enhanced diffusion. Our previous work reported on the enhancement of activation in boron implanted at a dose of 5E14/cm2 and annealed at temperatures of 450 °C and below, by the incorporation of atomic hydrogen introduced by exposing the substrate to a hydrogen plasma at 250 °C. In this work, further experiments have been carried out to get a better understanding of the mechanisms responsible for boron activation enhancement. Hydrogen-related activation was studied in boron, phosphorus and antimony implanted samples. The experimental results shed new light on the interactions among atomic hydrogen, point defects and dopants.

54 citations


Journal ArticleDOI
TL;DR: In this paper, the activation of Be dopants and the repair of implantation-induced defects in GaN films cannot be achieved efficiently by conventional RTA alone, and good dopant activation and surface morphology and quality were obtained when the Be-implanted GaN film was annealed by PLA with a 248nm KrF excimer laser.
Abstract: Postimplantation thermal processing of Be in molecular-beam-epitaxy-grown GaN by rapid thermal annealing (RTA) and pulsed laser annealing (PLA) was investigated. It has been found that the activation of Be dopants and the repair of implantation-induced defects in GaN films cannot be achieved efficiently by conventional RTA alone. On the other hand, good dopant activation and surface morphology and quality were obtained when the Be-implanted GaN film was annealed by PLA with a 248nm KrF excimer laser. However, observations of off-resonant micro-Raman and high-resolution x-ray-diffraction spectra indicated that crystal defects and strain resulting from Be implantation were still existent after PLA, which probably degraded the carrier mobility and limited the activation efficiency to some extent. This can be attributed to the shallow penetration depth of the 248nm laser in GaN, which only repaired the crystal defects in a thin near-surface layer, while the deeper defects were not annealed out well. This situ...

51 citations


Journal ArticleDOI
TL;DR: The phenomenon of severe dopant loss during rapid thermal annealing of phosphorus-implanted germanium has been investigated in this article, where surface passivation using plasma-enhanced chemical vapor deposited silicon dioxide did not prevent the dose loss, but it assisted in the achievement of defect-free, single-crystal Germanium with improved electrical characteristics at a reduced thermal budget.
Abstract: The phenomenon of severe dopant loss during rapid thermal annealing of phosphorus-implanted germanium has been investigated. Dopant activation improves for temperatures above 500°C and reaches 100% activation for samples annealed at 600°C. However, a heavily defective junction with approximately 50% dopant loss is recorded. Although surface passivation of the implanted germanium using plasma-enhanced chemical vapor deposited silicon dioxide did not prevent the dose loss, it assisted in the achievement of defect-free, single-crystal germanium with improved electrical characteristics at a reduced thermal budget. Phosphorus introduced into germanium via solid-state diffusion from phosphosilicate glass did not exhibit dose loss upon rapid thermal annealing, suggesting that dose loss could be an effect of implant damage.

34 citations


Journal ArticleDOI
TL;DR: In this article, the effect of increasing dose (i.e., 5/spl times/10/sup 13/-5/spltimes/ 10/sup 16/ cm/sup -2) and subsequent annealing (400/spl deg/C-800/spl/C for 3 h in nitrogen) on activation and diffusion of boron in Ge was examined.
Abstract: There is renewed interest in the development of Ge-based devices. Implantation and dopant activation are critical process steps for future Ge devices fabrication. Boron is a common p-type dopant, which remarkably is active immediately after implantation in Ge at low doses. This paper examines the effect of increasing dose (i.e., 5/spl times/10/sup 13/-5/spl times/10/sup 16/ cm/sup -2/) and subsequent annealing (400/spl deg/C-800/spl deg/C for 3 h in nitrogen) on activation and diffusion of boron in Ge. Secondary ion mass spectrometry (SIMS), spreading resistance profiling (SRP), high resolution X-ray diffraction (HRXRD), Rutherford backscattering spectrometry (RBS), and nuclear reaction analysis (NRA) are used to characterize the implants before and after annealing. It is found that very high fractions of the boron dose (/spl sim/5%-55%) can be incorporated substitutionally immediately after implantation leading to very high hole concentrations, /spl ges/2/spl times/10/sup 20/ cm/sup -3/, deduced from SRP. Small increases in activation after annealing are observed, however, 100% activation is not indicated by either SRP or NRA. Negligible diffusion after annealing at either 400/spl deg/C or 600/spl deg/C for 3 h was, furthermore, observed.

30 citations


Journal ArticleDOI
TL;DR: In this article, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times.
Abstract: The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface.

21 citations


Journal ArticleDOI
TL;DR: In this article, anon shower doping with a main ion source of P2Hx using a source gas mixture of PH3/H2 was conducted on excimer-laser-annealed (ELA) poly-Si.

Journal ArticleDOI
TL;DR: In this paper, the formation of shallow junctions in germanium substrates, compatible with deep submicron CMOS processing, is discussed with respect to dopant diffusion and activation and damage removal.
Abstract: The formation of shallow junctions in germanium substrates, compatible with deep submicron CMOS processing is discussed with respect to dopant diffusion and activation and damage removal. Examples will be discussed for B and Ga and for P and As, as typical p- and n-type dopants, respectively. While 1 to 60 s Rapid Thermal Annealing at temperatures in the range 400-650oC have been utilized, in most cases, no residual extended defects have been observed by RBS and TEM. It is shown that 100% activation of B can be achieved in combination with a Ge pre-amorphisation implant. Full activation of a P-implant can also be obtained for low-dose implantations, corresponding with immobile profiles. On the other hand, for a dose above the threshold for amorphisation, a concentration-enhanced diffusion of P occurs, while a lower percentage of activation is observed. At the same time, dose loss by P out-diffusion occurs, which can be limited by employing a SiO2 cap layer.

Journal ArticleDOI
TL;DR: In this paper, an optimization study of pre-amorphization and dopant implant conditions with advanced annealing for PMOS source/drain extension formation was conducted to find the minimum sheet resistance and junction depth.
Abstract: As CMOS device dimensions shrink toward the 45 nm technology node, the junction depth of the source/drain extensions must decrease to 7–12 nm in order to minimize short channel effects. Simultaneously, the desire for high transistor drive currents and fast device performance leads to the requirement of a maximum PMOS extension sheet resistance of 830 Ω/□. In order to meet the junction depth requirement, advanced annealing techniques with maximum temperature dwell times of about 1 ms are being developed and assessed, since they enable dopant activation with minimal diffusion from the as-implanted dopant profile. Nevertheless, achieving low sheet resistances with junctions formed by these advanced anneals is a challenge. Pre-amorphization with Ge + ions is commonly used to reduce the as-implanted junction depth by minimizing channeling, but the pre-amorphization implant conditions influence the junction sheet resistance along with the dopant implant conditions. This paper will discuss an optimization study of pre-amorphization and dopant implant conditions with advanced annealing for PMOS source/drain extension formation. The energy and dose of Ge + pre-amorphization implants and B + dopant implants were varied to find the minimum sheet resistance and junction depth. The optimal implant conditions for advanced anneal are found to be different from those previously reported for spike anneal. Two types of dopant activation mechanisms will be discussed to explain the different sets of optimum conditions. In addition, the boron diffusion appears to be controlled by the Ge peak position, which can be used to improve profile abruptness. These mechanisms together appear to provide the knobs that enable formation of p + –n junctions satisfying the ITRS 45 nm requirements.

Proceedings ArticleDOI
04 Oct 2005
TL;DR: In this paper, pyrometry and closed loop temperature control are critical to establish process uniformity and repeatability for laser annealing, and the correlation between the process results (dopant activation) and the pyrometer signal is discussed.
Abstract: Laser annealing is one of the process solutions to enable ultra shallow junction (USJ) formation for the 45 nm technology node. However, variations in the front-side optical properties of device wafers cause large temperature variations on the wafer surface which, in turn, cause large variations in activation of the dopants that form the junction. As a result, pyrometry and closed loop temperature control are critical to establish process uniformity and repeatability for laser annealing. Pyrometry results are presented along with the correlation between the process results (dopant activation) and the pyrometer signal. Closed loop control and future technical challenges are discussed

Journal ArticleDOI
TL;DR: In this article, the importance of phosphorus incorporation and hydrogen removal for the electrical activation of phosphorus dopants in Si: P δ-doped samples fabricated using phosphine dosing and molecular beam epitaxy (MBE).
Abstract: We present a study to determine the importance of phosphorus incorporation and hydrogen removal for the electrical activation of phosphorus dopants in Si: P δ-doped samples fabricated using phosphine dosing and molecular beam epitaxy (MBE). The carrier densities in these samples were determined from Hall effect measurements at 4 K sample temperature. An anneal to incorporate phosphorus atoms into substitutional lattice sites is critical to achieving full dopant activation after Si encapsulation by MBE. Whilst the presence of hydrogen can degrade the quality of the Si encapsulation layer, we show that it does not adversely impact the electrical activation of the phosphorus dopants. We discuss the relevance of our results to the fabrication of nano-scale Si: P devices.

Patent
28 Oct 2005
TL;DR: In this article, a partial recrystalization of an amorphous silicon layer in the source drain region that is the precursor to the metal salicide reaction is disclosed, which reduces source/drain interfacial roughness, repairs amorphization-related defects, and reactivates dopants previously deactivated during the implant, thereby reducing the external resistance and leakage, as well as improving mobility and yield.
Abstract: A method for improving a microelectronic device interface with an ultra-fast anneal process at an intermediate temperature that may be lower than those used in a dopant activation process. In one embodiment, a partial recrystalization of an amorphous silicon layer in the source drain region that is the precursor to the metal salicide reaction is disclosed. Source/drain regions are first amorphized using an implant process, then a metal layer is deposited in the source/drain region which reacts with the silicon in a salicide formation anneal. Amorphization reduces problems with metal diffusion that can occur during salicide formation anneal process, which typically occurs at a temperature significantly lower than the dopant activation temperature. The partial recrystalization reduces source/drain interfacial roughness, repairs amorphization-related defects, and reactivates dopants previously deactivated during the amorphization implant, thereby reducing the external resistance and leakage, as well as improving mobility and yield.

Patent
10 Aug 2005
TL;DR: In this article, the authors proposed a method of annealing silicon thin film, which comprises providing a conductive layer underneath a silicon-thin film, applying an electric field to the conductive layers to induce Joule heating and thereby to generate intense heat, and carrying out crystallization, elimination of crystal lattice defects, dopant activation, thermal oxidation and the like.
Abstract: The present invention provides a method of annealing silicon thin film, which comprises providing a conductive layer underneath a silicon thin film, applying an electric field to the conductive layer to induce Joule heating and thereby to generate intense heat, and carrying out crystallization, elimination of crystal lattice defects, dopant activation, thermal oxidation and the like, of the silicon thin film; and a polycrystalline silicon thin film having high quality prepared by the method. The annealing method of the invention provides a polycrystalline silicon thin film which has virtually no crystal lattice defects, which is completely free from contamination by catalyst metal appearing in polycrystalline silicon thin films produced by crystallization methods such as MIC and MILC, and at the same time, is not accompanied by surface protrusions appearing in polycrystalline silicon thin films produced by ELC, while not incurring thermal deformation of glass substrate.

Journal ArticleDOI
TL;DR: In this article, the stability of the thin strained Si layer during solid phase epitaxial regrowth process by monitoring the Ge redistribution∕strain after the preamorphization step (without any anneal) and after the thermal regrowth was investigated.
Abstract: The formation of a thin strained Si layer on top of a strain-relaxed SiGe buffer is a recent approach to improve the drive current of complementary metal-oxide-semiconductor devices by inducing strain within the transistor channel. At the same time, advanced process technologies require junction formation processes with minimal diffusion and very high dopant activation. Solid-phase epitaxial regrowth is a low temperature process based on preamorphization and subsequent regrowth leading to highly activated and shallow junctions. In this letter, we investigate the stability of the thin strained Si layer, during solid-phase epitaxial regrowth process by monitoring the Ge redistribution∕strain after the preamorphization step (without any anneal) and after the thermal regrowth process.

Journal ArticleDOI
TL;DR: In this paper, a short burst of intense optical energy achieving wafer surface temperatures in excess of 1300°C was used to activate boron activation to its solid solubility level.
Abstract: To form ultra shallow junctions (USJs) several fast annealing techniques are available with very high temperatures to activate dopants yet minimize diffusion. Flash annealing utilizes Xe-arc lamps which provide a short (2 ms) burst of intense optical energy achieving wafer surface temperatures in excess of 1300 °C. It is shown that this annealing technique facilitates boron activation to its solid solubility level. Reviews are given of the flash annealing parameters to show how they affect leakage currents, junction depths and dopant activation but to address poly depletion effects, flash annealing must be complemented with an RTA anneal. Several dopants for sub 90 nm devices have been characterized under various annealing conditions resulting in leakage currents comparable to spike anneal and transistors with higher current gain. High boron activation concentrations are obtained through adjustment of process conditions including modifying the processing sequence by utilizing multiple flash anneals. Certain device geometrical factors and film structures also have to be adjusted to optimally utilize the flash technology with the most important factor being minimization of defect generation with the high energy imparted onto the wafer surface. To control this energy, additional optimization of the flash technology is achievable through varying the energy pulse width and initiation of the Xe-arc lamp ignition. Data showing comparative results is presented, including how such results compare to the use of spike annealing.

Journal ArticleDOI
TL;DR: In this article, the authors investigate using secondary ion mass spectrometry (SIMS), spreading resistance profiling (SRP) and Hall effect measurements, the alternate p-type dopant species of Ga and its behaviour in the energy range 2-5
Abstract: The trend for decreasing geometries within CMOS architecture is driving the need for ever shallower, highly doped, low resistivity layers in silicon. The conventional dopant of choice, boron, as a result of its light mass requires that implant energies be ever reduced to meet the demands of these shallow junctions, with the inevitable effect on throughput due to implanter beam current limitations. In this paper we investigate using secondary ion mass spectrometry (SIMS), spreading resistance profiling (SRP) and Hall effect measurements, the alternate p-type dopant species of Ga and its behaviour in the energy range 2–5 keV, implanted into both single crystal Si and pre-amorphised material.

Journal ArticleDOI
TL;DR: In this paper, the effect of small angular deviations of the incident beam from normal incidence, as seen by the wafer, lead to large changes in on-current, which can be alleviated by a quad implant provided the tilt-angle is sufficiently large, in the order >5°.
Abstract: The extent (“gate overlap”) and slope (“abruptness”) of the lateral junction are quickly replacing vertical junction depth as the most important physical junction metrics in advanced device architectures. This is in particular true for ultra-thin body devices, where the vertical junction is limited by a geometric constraint. The optimum gate overlap is quite small, or may even be negative, making a process without the need of high-tilt implantation feasible, even for dopant activation with negligible diffusion by flash annealing or laser thermal processing. Dopant activation by solid phase epitaxial regrowth might require high-tilt implants for a positive overlap. The use of such implants, however, is expected to lead to severe gate-poly and gate-oxide degradation. Scaling the 150 nm technology has drastically shrunk the overlap, accomplished by an equally aggressive reduction in thermal budget. For a 65 nm node device, a significant fraction of the overlap originates in the as-implanted dopant profile and the importance of diffusion is diminished. As a consequence small changes in the as-implanted profile are beginning to have a disproportionate impact on device characteristics. Small angular deviations of the incident beam from normal incidence, as seen by the wafer, lead to large changes in on-current. This can be alleviated significantly by a quad implant provided the tilt-angle is sufficiently large, in the order >5°.

Proceedings ArticleDOI
08 Aug 2005
TL;DR: In this article, the impact of rapid thermal annealing (RTA) on thin-film polycrystalline silicon (pc-Si) solar cells on glass made by evaporation of a-Si and subsequent solid phase crystallization (SPC) are investigated.
Abstract: Rapid thermal processing has predominantly been developed for wafer-based devices yet also has great potential for low-temperature devices such as thin-film polycrystalline silicon (pc-Si) on glass solar cells The present paper investigates the impact of rapid thermal annealing (RTA) on thin-film pc-Si solar cells on glass made by evaporation of a-Si and subsequent solid-phase crystallization (SPC) These devices are referred to by us as EVA cells (SPC of evaporated a-Si) RTA parameter variations are performed to determine optimum values for point defect removal and dopant activation, and to maximize the open-circuit voltage (V/sub oc/) Upon hydrogenation in a RF PECVD hydrogen plasma, a 1-Sun V/sub oc/ of 443 mV is realized Through optimization, a V/sub oc/ of 500 mV is clearly within the reach of the EVA technology

Proceedings ArticleDOI
07 Jun 2005
TL;DR: In this article, a plot of the R,Xj relationship using the combination of the plasma doping and dopant activation process by flash lamp annealing (FLA) or spike RTA was shown.
Abstract: Rapid scaling in silicon CMOS devices has forced the junction depth requirement needed for S/D extensions of MOSFET to be as shallow as 10 nm in the next 45 nm technology node [1]. For this reason, the plasma doping method is considered to be promising compared to the conventional ion implantation, since it has significant advantages such as very high throughput and more compact in system hardware [2]-[6]. Formation of ultra-shallow p-type junction using boron is considerably difficult due to low energy requirement on doping and rapid diffusion of boron during thermal annealing that can increase junction depth. Very short time annealing such as flash lamp annealing (FLA) or spike rapid thermal annealing (RTA) is required to form such shallow junctions [7]-[ 10]. Fig. 1 is a plot of the R,-Xj relationship using the combination of the plasma doping and dopant activation process by FLA or spike RTA, which shows a successful formation of ultra-shallow junction [11][ 12]. However, the study on the leakage current across the ultra-shallow junction has been relatively limited. Since the impurity profile, the condition of amorphization, and the defect formation in the silicon wafers doped by the plasma doping are different from those by the conventional ion implantation, the characteristics ofthe residual defects after the activation annealing, to which the electrical characteristics of the junction is sensitive, might be different between the two.

Journal ArticleDOI
TL;DR: In this paper, the interaction of boron and aluminum with interstitial carbon was studied using first principles calculations and it was shown that carbon can form very stable complexes with Al and B, forming a family of negative-U bistable defects with deep levels.
Abstract: Interaction of boron and aluminum with interstitial carbon is studied using first principles calculations. It is shown that carbon can form very stable complexes with Al and B, forming a family of negative-U bistable defects with deep levels. The influence of this effect on the activation rate of p-type implants is discussed.

Journal ArticleDOI
TL;DR: In this paper, the performance and reduction in poly-depletion effects of poly-SiGe electrodes in capacitors fabricated using these films were verified using capacitance-voltage measurements.
Abstract: Polycrystalline Si 1 - x Ge x (poly-SiGe) is a known gate electrode material that can mitigate poly-depletion effects, which exist in deep submicrometer complementary metal-oxide-semiconductor (CMOS) transistors, due to its lower dopant activation temperatures and smaller bandgaps. As an important step toward the manufacturing of poly-SiGe electrode-based CMOS transistors with enhanced performances, this study focuses on the deposition of poly-SiGe films with different structural features and the characterization of the physical properties of these films. The electrical performance and the reduction in poly-depletion effects of the poly-SiGe electrodes in capacitors fabricated using these films were verified using capacitance-voltage measurements.

Journal ArticleDOI
TL;DR: In this article, the authors developed new high dose/low energy implanter designs with high tilt angle capabilities for gate over lap control, uniform beam parallelism across 300mm wafers to eliminate asymmetrical transistor effects and high productivity at 200eV boron equivalent energies with no energy contamination using new molecular dopant species (B10H14 and B18H22).
Abstract: Several alternative methods of forming and characterizing ultra-shallow junctions for the 45 nm node (Xj = 95 nm) to extend planar single gate CMOS for bulk or SOI technologies are being investigated To continue gate length scaling (Lg) and optimize gate overlap control, the industry will move from Spike/RTA annealing at the 65 nm node to diffusion-less activation using high temperature milli-second annealing (Flash/RTA or non-melt laser), low temperature SPE or their combinations to optimize Rs and Xj This is driving the development of new high dose/low energy implanter designs with: (1) high tilt angle capabilities for gate over lap control, (2) uniform beam parallelism across 300 mm wafers to eliminate asymmetrical transistor effects and (3) high productivity at 200 eV boron equivalent energies with no energy contamination using new molecular dopant species (B10H14 and B18H22) If these techniques are unsuccessful in achieving the 45 nm node shallow p+ junctions with improved Rs dopant activation above Bss with acceptable junction leakage and device channel mobility enhancement then alternative non-implantation doping methods will be introduced such as in situ doped SEG and infusion DCD Also, accurate characterization of these shallow junctions is critical so new non-penetrating 4PP Rs measurement techniques are being developed along with new spreading resistance depth profile analysis to determine the electrically active dopant profile as opposed to the SIMS chemical dopant profile

Journal ArticleDOI
TL;DR: In this paper, a comparative study of B re-distribution and electrical activation after excimer laser annealing (ELA) of B and BF 2 implanted Si was performed, where secondary ion mass spectrometry (SIMS) and spreading resistance profiling (SRP) were measured.
Abstract: We have performed a comparative study of B re-distribution and electrical activation after excimer laser annealing (ELA) of B and BF 2 implanted Si. Chemical B concentration and electrical activation profiles were measured by secondary ion mass spectrometry (SIMS) and spreading resistance profiling (SRP), respectively. SIMS data demonstrate that the presence of F does not influence significantly the re-distribution of B during ELA. A dramatic contrast, however, can be observed in the electrical activation of the dopant in the B and BF 2 implanted samples. While almost 100% electrical activation of B occurs in the B implanted samples, only 20–50% of the dopant can be activated by ELA in the BF 2 implanted sample. Possible mechanisms causing the deactivation of B in the BF 2 implanted samples after ELA are discussed.

Proceedings ArticleDOI
04 Oct 2005
TL;DR: In this paper, a metal absorber was formed on a specimen to compensate deep penetration depth of green laser light into Si, metal absorbers were effective to reduce necessary laser energy density to activate dopant, however Mo worked oppositely.
Abstract: To compensate deep penetration depth of green laser light into Si, metal absorber was formed on a specimen. TiN absorber was effective to reduce necessary laser energy density to activate dopant, however Mo worked oppositely. In addition, specimens with the absorber encountered the problem of over-melt that increased junction depth severely. Mechanisms of these results were discussed utilizing one-dimensional thermal diffusion analysis. Absence of reflectivity reduction was considered to be the key of this phenomenon

Journal ArticleDOI
TL;DR: In this article, the chemical states of N were accessed by angle-resolved X-ray photoelectron spectroscopy after annealing at 450 °C, whereas the chemical environment of N is not changed at this temperature Annealing at 1000 °C renders N mobile and its most abundant chemical state in near surface regions is unstable Annealing in O2 atmosphere promotes incorporation of O from the gas phase into the films, partly in exchange for N and O atoms and partly by net incorporation of oxygen in the films.
Abstract: HfSiO and HfSiON films with thicknesses compatible with the requirements for gate dielectrics alternatives to SiO2 in ultra-large scale integration silicon-based CMOSFET devices were deposited on an ultrathin HfSiO15N interfacial layer on Si(001) These structures were submitted to thermal processing routines typical of post-deposition annealing and dopant activation steps in fabrication technology, namely at 450 or 1000 °C, respectively, and in atmospheres of N2 and/or O2 N transport and loss were determined by nuclear reaction analysis, including sub-nanometric depth resolution profiling with narrow nuclear reaction resonances The chemical states of N were accessed by angle-resolved X-ray photoelectron spectroscopy After annealing at 450 °C, N is seen to be mobile, whereas the chemical environment of N is not changed at this temperature Annealing at 1000 °C renders N mobile and its most abundant chemical state in near-surface regions is unstable Annealing in O2 atmosphere promotes incorporation of O from the gas phase into the films, partly in exchange for N and O atoms and partly by net incorporation of oxygen in the films The profiles of the newly incorporated O atoms are also determined with sub-nanometric depth resolution by narrow nuclear reaction resonance profiling

Proceedings ArticleDOI
04 Oct 2005
TL;DR: In this paper, the effect of the heating pulse duration on the effectiveness of the annealing process was analyzed in both theoretical and experimental literature, and it was shown that the added value brought by the relatively long thermal cycle induced by a long-duration laser pulse in either defects curing or activation of electrical dopants.
Abstract: Not only laser annealing is an alternative to classical furnace thermal processes, but today laser thermal processing (LTP) appears very suitable for various processes of various materials of the semiconductor industry. The duration of the laser pulse heating the material to be annealed needs to be short enough to induce both nm-scale localized and metastable thermodynamic cycles (ns to mus range) but long enough to avoid too high degree of superheating regime responsible for damage or cancellation of the annealing process. We gather in this paper the main results found in both theoretical and experimental literature comparing the effect of the heating pulse duration on the effectiveness of the annealing. Simulation calculations highlight the added value brought by the relatively long thermal cycle induced by a long-duration laser pulse in either defects curing or activation of electrical dopants. Electrical properties measured on real device structures from ultra-shallow junction annealed by a long pulse-LTP confirm the potential of a 200 ns-duration excimer laser irradiation for dopant activation in sub-45 nm CMOS manufacturing