scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 1992"


Patent
22 Jan 1992
TL;DR: In this article, a dry etching method for aluminum-based layer for effectively combatting the after-corrosion in accordance with three aspects is presented, while a resist mask and chlorine based gas as known per se are used, S 2 F 2 is used during etching of the barrier metal layer.
Abstract: A dry etching method for etching an aluminum (Al) based layer for effectively combatting the after-corrosion in accordance with three aspects. In the first aspect, while a resist mask and chlorine based gas as known per se are used, S 2 F 2 is used during etching of the barrier metal layer. In this manner, residual chlorine in a carbonaceous polymer as a sidewall protection material or a resist mask is replaced by fluorine, whilst sulfur yielded from S 2 F 2 under conditions of discharge dissociation is deposited to provide for sidewall protection effects. In the second aspect, a SiO 2 mask and an S 2 Cl 2 etching gas are used. Since the sidewall protection material is solely sulfur yielded from S 2 Cl 2 , it becomes possible to avoid the effects of the residual chlorine. In the third aspect, an neutral Ar beam is irradiated at a suitable stage in the etching process for increasing the resistance of the SiO 2 mask against reducing compounds contained in an etching gas for the layer of the aluminum-based material. By irradiation of the neutral beam, a reduction-resistant layer is produced on the surface of the SiO 2 mask to render it possible to reduce the mask thickness without producing problems such as increased step differences on the wafer surface.

765 citations


Patent
Chris Jerbic1
11 Sep 1992
TL;DR: In this paper, a method of selectively etching titanium-containing materials without attacking aluminum or silicon dioxide is described, wherein an atomic chlorine etching environment is generated using downstream techniques.
Abstract: A method of selectively etching titanium-containing materials without attacking aluminum or silicon dioxide is describe, wherein an atomic chlorine etching environment is generated using downstream techniques. Atomic chlorine in the absence of ion bombardment (as provided by downstream etching) etches titanium-containing materials such as titanium nitride without attacking silicon dioxide. In one embodiment of the invention, atomic chlorine is generated by the discharge of energy into molecular chlorine. In another embodiment of the invention, discharge of energy into a fluorine-containing gas causes the generation of atomic fluorine. Molecular chlorine is then added, creating a fluorine-chlorine exchange reaction which produces atomic chlorine. The presence of fluorine inhibits etching of aluminum, but does not impede the etching of titanium-containing materials.

319 citations


Patent
29 Jun 1992
TL;DR: In this paper, a method for forming an interconnect within a prepatterned channel in a semiconductor device is described, where a first dielectric layer is deposited over a substrate and patterned to form a contact opening that is subsequently filled with a contact plug.
Abstract: A device and methods of forming an interconnection within a prepatterned channel in a semiconductor device are described. The present invention includes a method of forming an interconnect channel within a semiconductor device. A first dielectric layer is deposited over a substrate and patterned to form a contact opening that is subsequently filled with a contact plug. A second dielectric layer is deposited over the patterned first dielectric layer and the contact plug. The second dielectric layer is patterned to form the interconnect channel, wherein the first dielectric layer acts as an etch stop to prevent etching of the substrate. The present invention also includes a method of forming an interconnect. A dielectric layer is deposited over a substrate and patterned to form an interconnect chapel. A metal layer is deposited over the patterned dielectric layer and within the interconnect channel. The metal layer is polished with an alkaline solution to remove the metal layer that does not lie within the interconnect chapel to form an interconnect. The present invention further includes a method of forming an interconnect over a silicon nitride layer. The silicon nitride layer is deposited over a semiconductor substrate and patterned to form a contact opening that is subsequently filled with a conductive material. A metal layer is deposited on the patterned silicon nitride layer and the contact plug and patterned to form the interconnect such that all of the interconnect lies on the contact plug and part of the patterned silicon nitride layer.

267 citations


Journal ArticleDOI
TL;DR: In this article, the luminescence spectra of stain films produced by anodic etching of Si in HNO3:H2O solutions have been observed and compared to those reported recently for porous Si films.
Abstract: Etching of Si in a variety of solutions is known to cause staining. These stain layers consist of porous material similar to that produced by anodic etching of Si in HF solutions. We have observed photoluminescence peaked in the red from stain‐etched Si wafers of different dopant types, concentrations, and orientations produced in solutions of HF:HNO3:H2O. Luminescence is also observed in stain films produced in solutions of NaNO2 in HF, but not in stain films produced in solutions of CrO3 in HF. The luminescence spectra are similar to those reported recently for porous Si films produced by anodic etching in HF solutions. However, stain films are much easier to produce, requiring no special equipment.

239 citations


Journal ArticleDOI
TL;DR: The role of silicon hydride species in the photoluminescence intensity behavior of porous Si has been studied in this article, where surfaces of luminescent porous Si samples were converted to a predominate SiH termination using a remote H plasma.
Abstract: The role of silicon hydride species in the photoluminescence intensity behavior of porous Si has been studied. The surfaces of luminescent porous Si samples were converted to a predominate SiH termination using a remote H plasma. The as‐passivated samples were then immersed in various concentrations of hydrofluouric solutions to regulate the recovery of SiH2 termination on the surface. Photoluminescence measurements and transmission Fourier‐transform infrared spectroscopy have shown that predominant silicon monohydride (SiH) termination results in weak photoluminescence. In contrast, it has been observed that the appearance of silicon dihydride (SiH2) coincides with an increase in the photoluminescence intensity.

233 citations


Patent
Tetsuya Homma1
24 Nov 1992
TL;DR: In this article, a method of fabricating a multi-layered interconnection structure which comprises the steps of: forming a first wiring layer on a silicon oxide film having a compressive stress; forming a thick (2 to 3.5 μm) fluorine-containing silicon dioxide film at a temperature not higher than 200 ° C; etching back the fluorine containing silicon oxide material to flatten the surface of the film; and forming a silicon oxide film having an insulating film, a resistance to cracking, flatness and reliability are improved.
Abstract: A method of fabricating a multi-layered interconnection structure which comprises the steps of: forming a first wiring layer on a silicon oxide film having a compressive stress; forming a thick (2 to 3.5 μm) fluorine-containing silicon oxide film at a temperature not higher than 200 ° C.; etching back the fluorine-containing silicon oxide film to flatten the surface of the film; forming a silicon oxide film having a compressive stress; forming a through-hole in position; and forming a second wiring layer. Since the fluorine-containing silicon oxide film is used as part of an insulating film, a resistance to cracking, flatness and reliability are significantly improved.

191 citations


Journal ArticleDOI
TL;DR: Binary optics processing methods were applied to a silicon substrate to generate an array of small pillars, and an improvement in long-wavelength infrared transmission is observed, with diffraction and scattering dominating at shorter wavelengths.
Abstract: Binary optics processing methods were applied to a silicon substrate to generate an array of small pillars in order to enhance transmission. The volume fraction of the silicon in the pillars was chosen to simulate a single homogeneous antireflection layer, and the pillar height was targeted to be a quarter-wave thickness. A mask was generated, using a graphics computer-aided design system; reactive-ion etching was used to generate the pillars. An improvement in long-wavelength infrared transmission is observed, with diffraction and scattering dominating at shorter wavelengths.

191 citations


Journal ArticleDOI
TL;DR: In this paper, selective and uniform (nonselective) etching regions were found between these material systems by choosing different concentration volume ratios of citric acid/hydrogen peroxide (χC 6 H 8 O 7 : 1H 2 O 2 ) at volume ratios from 0.5: 1 to 50: 1.
Abstract: Etching studies involving citric acid/hydrogen peroxide (C 6 H 8 O 7 :H 2 O 2 ) at volume ratios from 0.5: 1 to 50: 1 were found to provide good selective etching of various III-V semiconductor materials grown on GaAs and InP substrates using molecular beam epitaxy. Both selective and uniform (nonselective) etching regions were found between these material systems by choosing different concentration volume ratios of citric acid/hydrogen peroxide (χC 6 H 8 O 7 :1H 2 O 2 )

178 citations


Journal ArticleDOI
TL;DR: In this article, high resolution electron energy loss spectroscopy (EELS) and IRAS were used to characterize Si(111) and Si(100) surfaces after chemical etching in solutions of HF and buffered HF.

177 citations


Patent
06 Mar 1992
TL;DR: In this article, the acid solution comprising a mixture of at least two different mineral acids provided in a selected ratio relative to one another, one of the mineral acids being HF, is compared to the undoped layer of SiO2.
Abstract: A method of utilizing and etching SiO2 in the processing of semiconductor wafers comprises: a) providing a layer of undoped SiO2 atop a wafer; b) providing a layer of doped SiO2 atop the layer of undoped SiO2 ; and c) wet etching the layer of doped SiO2 selectively relative to the undoped layer of SiO2 utilizing an acid solution, the acid solution comprising a mixture of at least two different mineral acids provided in a selected ratio relative to one another, one of the mineral acids being HF. The preferred volumetric ratio of other mineral acids in the acid solution to HF in the acid solution is from 20:1 to 110:1, with a ratio of from 45:1 to 65:1 being most preferred. Example acids to be combined with the HF include H2 SO4, HCl, HNO3, H3 PO4, HBr, HI, HClO4, and HIO4, or mixtures thereof.

172 citations


Patent
30 Jul 1992
TL;DR: In this paper, a method for preparing a semiconductor member comprises process of making a porous Si substrate and then forming a non-porous Si monocrystalline layer on the porous substrate, primary bonding process of bonding the porous si substrate and an insulating substrate via the nonporous si monocrystaline layer, etching process of etching the porous Si to remove the porousSi by chemical etching after the primary bonding, and secondary bonding process strengthening the primary coupling after the etching.
Abstract: A method for preparing a semiconductor member comprises process of making a porous Si substrate and then forming a non-porous Si monocrystalline layer on the porous Si substrate; primary bonding process of bonding the porous Si substrate and an insulating substrate via the non-porous Si monocrystalline layer; etching process of etching the porous Si to remove the porous Si by chemical etching after the primary bonding process; and secondary bonding process of strengthening the primary bonding after the etching process.

Patent
Bernard Auda1
15 Apr 1992
TL;DR: In this article, two spectrometers (30A, 30B) are used for viewing the plasma used in the trench etching process at zero and normal angles of incidence with respect to the plane of the wafer, respectively.
Abstract: An apparatus (20) for monitoring the trench formation process in a silicon wafer on a full in-situ and on-line basis. The apparatus includes two spectrometers (30A, 30B) for viewing the plasma used in the trench etching process at zero and normal angles of incidence with respect to the plane of the wafer, respectively. Both spectrometers are tuned to detect the radiation associated with a selected specie present in the plasma. Based on information contained in the output signals of the spectrometers, the depth D of the trench and the thickness Th and rate of deposition of the redeposited SiO2 layer are computed in real time. When the computed depth D matches a final depth of parameter, the trench formation process is terminated.

Patent
08 Jun 1992
TL;DR: In this article, the surface morphology of a porous expanded polytetrafluoroethylene (PPE) material has been modified by exposing the surface to radio frequency gas plasma discharge with a reactive etching gas for a lengthy amount of time such as about ten minutes.
Abstract: Porous expanded polytetrafluoroethylene material having a microstructure of nodes interconnected by fibrils wherein a surface of the material has been modified to have increased hydrophobicity as indicated by having a water droplet roll-off angle of less than about 10 degrees in comparison to a typical roll-off angle of greater than about 12 degrees for the unmodified material. Under magnification, the surface morphology may be indistinguishable from that of the unmodified precursor material. The modification is preferably done by exposing the surface to radio frequency gas plasma discharge with a reactive etching gas for a lengthy amount of time such as about ten minutes. If surface etching is continued beyond a time adequate to produce the highly hydrophobic behavior, then the surface morphology includes the appearance of broken fibrils. Still further treatment results in complete removal of fibrils from the surface so that under magnification the surface has the appearance of freestanding node portions not interconnected by fibrils but rather having open valleys disposed between the freestanding node portions.

Journal ArticleDOI
TL;DR: In this article, a microbeam plasma was successfully generated by using an originally designed capacitively coupled rf plasma reactor which was composed of a needle cathode (1 mm φ), a cylindrical anode (3 mmπ), and a quartz tubing inserted between the cathode and anode.
Abstract: A microbeam plasma was successfully generated by using an originally designed capacitively coupled rf plasma reactor which was composed of a needle cathode (1 mm φ), a cylindrical anode (3 mm φ), and a quartz tubing inserted between the cathode and anode. When the reactor was operated in open air and helium was steadily fed as a discharge gas, a plasma beam (φ<2 mm) blew out into air. Conditions for generating a stable plasma were investigated. Preliminary results are presented on the etching of Si by this beam plasma, as well as an emission analysis of the etching plasma.

Journal ArticleDOI
TL;DR: In this article, the authors performed photoluminescence studies on porous, p-type as well as n-type silicon wafers which have been prepared in air or in a dry nitrogen atmosphere, utilizing a spark-erosion technique.
Abstract: We have performed photoluminescence studies on porous, p‐type as well as n‐type silicon wafers which have been prepared in air or in a dry nitrogen atmosphere, utilizing a spark‐erosion technique. This sample preparation, which does not involve aqueous solutions or fluorine contaminants, yields similar photoluminescence spectra as those obtained by anodic etching in HF or unbiased etching in various HF‐containing reagents. The wavelength of the photoluminescence peaks are somewhat shifted into the blue region compared to porous silicon obtained by anodic etching. We have also taken photoluminescence spectra on amorphous silicon, SiO2, and oxidized, annealed porous silicon. Our results are interpreted in the light of the presently suggested theories.

Journal ArticleDOI
TL;DR: This paper measured the temperature dependence of the photoluminescence of porous silicon and found that it was not consistent with the expected behavior of crystalline or amorphous silicon, and they also found that soaking the samples in oxygen and simultaneously illuminating them with light results in the quenching of the light.
Abstract: We have measured the temperature dependence of the photoluminescence of porous silicon and have found that it disagrees with the expected behavior of crystalline or amorphous silicon. We also found that soaking the samples in oxygen and simultaneously illuminating them with light results in the quenching of the photoluminescence. We propose that luminescence in porous silicon may actually be luminescence from molecules attached to the Si surface, rather than any previously assumed quantum size effect.

Patent
29 Jun 1992
TL;DR: In this paper, various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes, deposition processes and combined etch/deposition processes, which allows processing of sensitive devices without damage and without microloading.
Abstract: A domed plasma reactor chamber (10) uses a device such as an antenna (30) driven by RF energy (LF, MF, or VHF) which is electromagnetically coupled inside the reactor dome (17). The antenna (30) generates a high density, low energy plasma inside the chamber (16) for etching metals, dielectrics and semiconductor materials. Auxiliary RF bias energy applied to the wafer support cathode (32C) controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes, deposition processes and combined etch/deposition processes. This allows processing of sensitive devices (5) without damage and without microloading, thus providing high yields.

Patent
18 Nov 1992
TL;DR: In this paper, methods and apparatuses for improved processing of semiconductor wafers and the like using processing chemicals, particularly hydrofluoric acid (HF) and water mixtures are presented.
Abstract: Disclosed are methods and apparatuses for improved processing of semiconductor wafers and the like using processing chemicals, particularly hydrofluoric acid (HF) and water mixtures Homogeneous vapor mixtures are generated from homogeneous liquid phase mixtures which are preferably recirculated, mixed and agitated The liquid phase is advantageously circulated through a chemical chamber within the processing bowl Exposure of wafers to vapors from the chemical chamber can be controlled by a vapor control valve which is advantageously the bottom of the processing chamber The wafer is rotated or otherwise moved within the processing chamber to provide uniform dispersion of the homogeneous reactant vapors across the wafer surface and to facilitate vapor circulation to the processed surface A radiative volatilization processor can be utilized to volatilize reaction by-products which form under some conditions The processes provide efficient uniform etching with low particle count performance

Patent
07 Jul 1992
TL;DR: In this paper, a mesh plate having a plurality of holes is placed at the interface of a plasma generation chamber and a substrate treatment chamber which holds a substrate, a high frequency electrical field being applied between an upper electrode in the plasma generator and the mesh plate to disassociate the plasma forming gas by electrodischarge so as to cause the generation of plasma.
Abstract: A plasma system which eliminates damage derived from charged particles in the plasma and which is able to perform uniform plasma CVD and plasma etching on a large area substrate, wherein a mesh plate having a plurality of holes is placed at the interface of a plasma generation chamber and a substrate treatment chamber which holds a substrate, a high frequency electrical field being applied between an upper electrode in the plasma generation chamber and the mesh plate so as to disassociate the plasma forming gas by electrodischarge so as to cause the generation of plasma. By this, the plasma is isolated from the substrate. On the other hand, source gas supply ports are opened near the holes of the mesh plate, the source gas being introduced from there being brought into contact with the plasma through the holes, whereby the reaction product can be uniformly produced in a broad area. If the reaction product is a deposit-like substance, plasma CVD becomes possible, while if of the etching type, plasma etching becomes possible.

Patent
23 Oct 1992
TL;DR: In this paper, a spin on glass (SOG) and an etching operation are used to remove high portions of the SOG prior to a chemical metal polish (CMP) operation, where an additional metal line is to be deposited on the surface, an additional insulating layer is deposited after the CMP operation.
Abstract: A method for planarizing the surface of a semiconductor device which employs spin on glass (SOG) and an etching operation to remove high portions of the SOG prior to a chemical metal polish (CMP) operation. The SOG is baked and cured before etching. Additional layers of SOG and etching operations may be employed as necessary. A thick encapsulating oxide layer is deposited over the SOG layer. For surface irregularities caused by metal lines, an insulating layer may be deposited over the surface before the SOG. Where an additional metal line is to be deposited on the surface, an additional insulating layer is deposited after the CMP operation. In the case of metal lines made of aluminum, provision is also made for preventing Hillock formations on the metal lines.

Journal ArticleDOI
TL;DR: In this article, a model that simulates etching profiles in reactive ion etching is presented, which is used to explain the significant lateral etch rate that is observed in many etch profiles.
Abstract: This article describes a model that simulates etching profiles in reactive ion etching. In particular, models are developed to explain the significant lateral etch rate that is observed in many etch profiles. The total etch rate is considered to consist of two superimposed components: an ion‐assisted rate and a purely ‘‘chemical’’ etch rate, the latter rate being due to etching by radicals in the absence of ion bombardment. The transport of radicals to the evolving interface is studied for two different transport mechanisms: re‐emission from the surface and diffusion along the surface. For the case of transport by surface re‐emission, a reactive sticking coefficient is defined for the radicals, and a formulation is developed to simulate etching for any value (between zero and unity) that this sticking coefficient may assume. When the sticking coefficient approaches either zero or unity, the method of characteristics is shown to be useful for profile simulation. Transport of radicals by surface diffusion is also investigated, and it is shown that the important dimensionless parameter governing profile evolution is the Damkohler number. The two models are compared to experiments performed on the etching of silicon in a SF6 plasma, and the surface re‐emission model is shown to accurately predict the development of etching profiles.

Patent
15 Jun 1992
TL;DR: In this article, a process is provided for etching a multilayer structure to form a predetermined etched pattern therein, where the exposed areas of the silicon dioxide outer layer are then etched down to the silicon nitride stop layer.
Abstract: More specifically, a process is provided for etching a multilayer structure to form a predetermined etched pattern therein. The subject process comprises providing the multilayer structure having a plurality of structural layers. The structural layers of the multilayer structure comprise a silicon dioxide outer layer on an underlying silicon nitride stop layer. Then, a chemical etchant protective layer is formed on a major surface of the multilayer structure having a predetermined pattern of openings, thereby exposing areas of the silicon dioxide outer layer corresponding to the predetermined pattern of openings. The exposed areas of the silicon dioxide outer layer are then etched down to the silicon nitride stop layer, at a high SiO 2 etch rate and at a high level of selectivity of the SiO 2 etch rate with respect to the Si 3 N 4 etch rate, with a fluorinated chemical etchant system. The fluorinated chemical etchant system includes an etchant material and an additive material. The additive material comprises a fluorocarbon material in which the number of hydrogen atoms is equal to or greater than the number of fluorine atoms. The etching step forms a substantially predetermined etch pattern in the silicon dioxide outer layer in which the contact sidewalls of said SiO 2 outer layer are substantially upright.

Book
01 Jan 1992
TL;DR: In this paper, a comparison of several methods with possible applications in high-density multichip interconnects is presented, including photo-assisted II-VI epitaxial growth.
Abstract: Photochemical Processing: Fundamental Mechanisms and Operating Criteria. Developments in Excimer Lasers for Photochemical Processing. Deep UV Optics for Excimer Lasers. Sub-Micron Lithography for Semiconductor Device Ravrication. Promoting Photonucleation on Semiconductor Substrates for Metallization. Considerations of the Microscopic Basis for Photo-Enhanced Chemical Beam Epitaxy. Photo-Assisted II-VI Epitaxial Growth. IR and UV Photo-Assisted Formation of Silicon Dioxide. Gas Immersion Laser Doping (GLD) in Silcon. Photochemical Etching of III-V Semiconductors. Excimer Laser Chemical Etching Fo Silicon and Copper. Laser Ablation of Polymers. Laser Ablation of Superconductors. Fast In-Situ Metallisation: A Comparison of Several Methods with Possible Applications in High Density Multichip Interconnects. Laser Asisted Fabrication of Integrated Circuits in Gallium Arsenide. Photon Probes for In-Process Control During Semiconductor Fabrication. Index.

Patent
08 Sep 1992
TL;DR: In this article, a method of etching an oxide over a nitride with high selectivity comprising plasma etching the oxide with a carbon and fluorine-containing etchant gas in the presence of a scavenger for fluorine, thereby forming a carbon-rich polymer which passivates the nitride.
Abstract: A method of etching an oxide over a nitride with high selectivity comprising plasma etching the oxide with a carbon and fluorine-containing etchant gas in the presence of a scavenger for fluorine, thereby forming a carbon-rich polymer which passivates the nitride. This polymer is inert to the plasma etch gases and thus provides high selectivity to the etch process.

Patent
Wolfgang Frank1
05 Mar 1992
TL;DR: In this paper, an anisotropic dry etching of metallization layers containing aluminum or aluminum alloys using an etching mask is described, where an accurately defined vertical profile of the conduction lines is formed.
Abstract: A method for anisotropic dry etching of metallization layers containing aluminum or aluminum alloys, in integrated semiconductor circuits, using an etching mask. Etching is performed with a strictly anisotropically attacking etching gas mixture containing an iodine compound being volatile under normal conditions, wherein an accurately defined vertical profile of the conduction lines is formed.

Journal ArticleDOI
TL;DR: In this paper, a reactive ion etching (RIE) process is used for the fabrication of submicron, movable single-crystal silicon (SCS) mechanical structures and capacitor actuators.
Abstract: A reactive ion etching (RIE) process is used for the fabrication of submicron, movable single-crystal silicon (SCS) mechanical structures and capacitor actuators. The process is called SCREAM for single crystal reactive etching and metallization process. The RIE process gives excellent control of lateral dimensions (0.2 mu m approximately 2 mu m) while maintaining a large vertical depth (1 mu m approximately 4 mu m) for the formation of high aspect ratio, freely suspended SCS structures. The silicon etch processes are independent of crystal orientation and produce controllable vertical profiles. The process also incorporates process steps to form vertical, 4 mu m deep, aluminum, capacitor actuators. Using SCREAM, the authors have designed, fabricated and tested two-dimensional x-y microstages and circular SCS structures. For the x-y stage they measured a maximum displacement of +or-6 mu m in x and y with 40 V DC applied to either x or y, or both x and y actuators. The process technology offers the capability to use a structural stiffness as low as 10-2 N m-1.

Journal ArticleDOI
TL;DR: In this paper, a silicon-filament vacuum-sealed incandescent light source has been fabricated using IC technology and subsurface micromachining, and the power required to achieve this temperature (for a filament 510*5*1 mu m) is 5 mW.
Abstract: A silicon-filament vacuum-sealed incandescent light source has been fabricated using IC technology and subsurface micromachining. The incandescent source consists of a heavily doped p/sup +/ polysilicon filament coated with silicon nitride and enclosed in a vacuum-sealed ( approximately=80-mT) cavity in the silicon-chip surface. The filament is formed beneath the surface and later released using sacrificial etching to obtain a microstructure that is protected from the external environment. The filament is electrically heated to reach incandescence at a temperature near 1400 K. The power required to achieve this temperature (for a filament 510*5*1 mu m) is 5 mW. The emitted optical power is 250 mu W, and the peak in the spectrum distribution is near 2.5 mu m. The radiation approximately follows Lambert's cosine law. The subsurface micromachining technique used to produce the evacuated cavity has applications in other micromechanical devices. >

Journal ArticleDOI
TL;DR: It is observed in real time the nature and motion of the silicon(111)/oxide interface during oxidation during oxidation {ital in} {ital situ} in a transmission electron microscope.
Abstract: We have observed in real time the nature and motion of the silicon(111)/oxide interface during oxidation {ital in} {ital situ} in a transmission electron microscope. Oxidation occurs by the reaction of discrete monolayers with no flow of surface steps. This is in dramatic contrast to oxygen etching of silicon at high temperatures, which is initially also a terrace reaction, but is followed by an evaporative process from steps.

Patent
23 Dec 1992
TL;DR: In this article, various magnetic and voltage processing enhancement techniques, along with other etch processes, deposition processes and combined etch/deposition processes are disclosed, without damage and without microloading.
Abstract: A plasma reactor chamber (16) uses an antenna (30) driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome (17). The antenna (30) generates a high density, low energy plasma inside the chamber (16) for etching oxygen-containing layers overlying non-oxygen-containing layers with high selectivity. Auxiliary RF bias energy applied to the wafer (5) support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with other etch processes, deposition processes and combined etch/deposition processes. Processing of sensitive devices without damage and without microloading is disclosed providing excellent yields. Etching of an oxygen-containing layer overlying a non-oxygen-containing layer can be achieved with high selectivity.

Proceedings ArticleDOI
Watanabe1, Tatsumi1, Ohnishi1, Hamada1, Honma1, Kikkawa1 
01 Jan 1992
TL;DR: In this paper, a HSG-Si cylindrical capacitor structure achieved a cell capacitance of 30 fF with 04 mu m-high storage electrode in a 072 mu m/sup 2/cell area.
Abstract: This HSG-Si cylindrical capacitor structure achieves a cell capacitance of 30 fF with 04 mu m-high storage electrode in a 072 mu m/sup 2/ cell area A new selective etching technique using a low-pressure vapor hydrogen fluoride is developed to form the cylindrical capacitor electrode The high selective etching (2000 times) of borophosphosilicate-glass to SiO/sub 2/ is realized Disilane molecule irradiation in ultra-high vacuum chamber achieves the HSG-Si formation on the whole surface of phosphorous doped amorphous Si cylindrical electrode >