scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 2010"


Journal ArticleDOI
TL;DR: Methods to produce wafer scale, high-quality graphene films as large as 3 in.
Abstract: We developed means to produce wafer scale, high-quality graphene films as large as 3 in. wafer size on Ni and Cu films under ambient pressure and transfer them onto arbitrary substrates through instantaneous etching of metal layers. We also demonstrated the applications of the large-area graphene films for the batch fabrication of field-effect transistor (FET) arrays and stretchable strain gauges showing extraordinary performances. Transistors showed the hole and electron mobilities of the device of 1100 ± 70 and 550 ± 50 cm2/(V s) at drain bias of −0.75 V, respectively. The piezo-resistance gauge factor of strain sensor was ∼6.1. These methods represent a significant step toward the realization of graphene devices in wafer scale as well as application in optoelectronics, flexible and stretchable electronics.

1,135 citations


Journal ArticleDOI
TL;DR: In this article, an extended structure zone diagram is proposed that includes energetic deposition, characterized by a large flux of ions typical for deposition by filtered cathodic arcs and high power impulse magnetron sputtering.

630 citations


Journal ArticleDOI
TL;DR: This work presents a new method to chemically control the shape of silver nanocrystals by using a highly anisotropic etching process, which produces intraparticle gaps, which introduce modified plasmonic characteristics and significant scattering intensity in the near-infrared.
Abstract: The understanding of the localized surface plasmons (LSPs) that occur at the geometrically bounded surface of metal nanoparticles continues to advance as new and more complex nanostructures are found. It has been shown that the oscillation of electrons at the metal dielectric interface is strongly dependent on the size, symmetry, and proximity of nanoparticles. Here, we present a new method to chemically control the shape of silver nanocrystals by using a highly anisotropic etching process. Tuning of the etchant strength and reaction conditions allows the preparation of new nanoparticle shapes in high yield and purity, which cannot be synthesized with conventional nanocrystal growth methods. The etching process produces intraparticle gaps, which introduce modified plasmonic characteristics and significant scattering intensity in the near-infrared. These new silver particles serve as excellent substrates for wavelength-tunable, single-particle surface enhanced Raman spectroscopy (spSERS).

589 citations


Journal ArticleDOI
TL;DR: This work devised a gas phase chemical approach to etch graphene from the edges without damaging its basal plane and opens up a chemical way to control the size of various graphene nano-structures beyond the capability of top-down lithography.
Abstract: Large-scale graphene electronics requires lithographic patterning of narrow graphene nanoribbons for device integration. However, conventional lithography can only reliably pattern approximately 20-nm-wide GNR arrays limited by lithography resolution, while sub-5-nm GNRs are desirable for high on/off ratio field-effect transistors at room temperature. Here, we devised a gas phase chemical approach to etch graphene from the edges without damaging its basal plane. The reaction involved high temperature oxidation of graphene in a slightly reducing environment in the presence of ammonia to afford controlled etch rate (less than or approximately 1 nm min(-1)). We fabricated approximately 20-30-nm-wide graphene nanoribbon arrays lithographically, and used the gas phase etching chemistry to narrow the ribbons down to <10 nm. For the first time, a high on/off ratio up to approximately 10(4) was achieved at room temperature for field-effect transistors built with sub-5-nm-wide graphene nanoribbon semiconductors derived from lithographic patterning and narrowing. Our controlled etching method opens up a chemical way to control the size of various graphene nano-structures beyond the capability of top-down lithography.

446 citations


Journal ArticleDOI
TL;DR: A facile top-down approach to fabricate uniform single-crystal α-Fe(2)O(3) nanodiscs via selective oxalic acid etching is reported, serving as the possible origin for their greatly enhanced capacity retention when tested as potential anode materials for lithium-ion batteries.
Abstract: In this work, we report a facile top-down approach to fabricate uniform single-crystal α-Fe(2)O(3) nanodiscs via selective oxalic acid etching. Phosphate ions are employed as a capping agent to control the etching to along the [001] direction. We also show that α-Fe(2)O(3) melon-like microparticles with contrasting textural properties can be generated using the same approach. The etched particles exhibit a much larger total pore volume and average pore size compared to the pristine ones, thus serving as the possible origin for their greatly enhanced capacity retention when tested as potential anode materials for lithium-ion batteries.

356 citations


Journal ArticleDOI
04 Jan 2010-ACS Nano
TL;DR: The present BNNSs possess the properties complementary to carbon nanosheets such as intrinsically semiconducting, high temperature stability, and high chemical inertness and may find applications in ultraviolet nanoelectronics, catalyst supports, electron field emission, and self-cleaning coatings, etc., especially those working at high temperature and in harsh environments.
Abstract: Boron nitride (BN) is a promising semiconductor with a wide band gap (∼6 eV). Here, we report the synthesis of vertically aligned BN nanosheets (BNNSs) on silicon substrates by microwave plasma chemical vapor deposition from a gas mixture of BF3−N2−H2. The size, shape, thickness, density, and alignment of the BNNSs were well-controlled by appropriately changing the growth conditions. With changing the gas flow rates of BF3 and H2 as well as their ratio, the BNNSs evolve from three-dimensional with branches to two-dimensional with smooth surface and their thickness changes from 20 to below 5 nm. The growth of the BNNSs rather than uniform granular films is attributed to the particular chemical properties of the gas system, mainly the strong etching effect of fluorine. The alignment of the BNNSs is possibly induced by the electrical field generated in plasma sheath. Strong UV light emission with a broad band ranging from 200 to 400 nm and superhydrophobicity with contact angles over 150° were obtained for t...

295 citations


Patent
14 Dec 2010
TL;DR: A system and method for rapid atomic layer etching (ALET) including a pulsed plasma source, with a spiral coil electrode, a cooled Faraday shield, a counter electrode disposed at the top of the tube, a gas inlet and a reaction chamber including a substrate support and a boundary electrode is described in this article.
Abstract: A system and method for rapid atomic layer etching (ALET) including a pulsed plasma source, with a spiral coil electrode, a cooled Faraday shield, a counter electrode disposed at the top of the tube, a gas inlet and a reaction chamber including a substrate support and a boundary electrode. The method includes positioning an etchable substrate in a plasma etching chamber, forming a product layer on the surface of the substrate, removing a portion of the product layer by pulsing a plasma source, then repeating the steps of forming a product layer and removing a portion of the product layer to form an etched substrate.

288 citations


Journal ArticleDOI
TL;DR: A dry, anisotropic etching approach combined with the standard lithographic technique is ideal for scalable graphene tailoring because the etching rates can be precisely controlled and the quality of the graphene can be preserved.
Abstract: A highly controllable, dry, anisotropic etching technique for graphene sheets has been achieved using hydrogen plasma etching. Zigzag edge formation was achieved by starting the etching at edges and defects and depends strongly on crystallographic orientation of the graphene. This dry, anisotropic etching approach combined with the standard lithographic technique is ideal for scalable graphene tailoring because the etching rates can be precisely controlled and the quality of the graphene can be preserved.

255 citations


Patent
26 Nov 2010
TL;DR: In this paper, a method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching, which may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2.
Abstract: A method for bonding at low or room temperature includes steps of surface cleaning and activation by cleaning or etching. The method may also include removing by-products of interface polymerization to prevent a reverse polymerization reaction to allow room temperature chemical bonding of materials such as silicon, silicon nitride and SiO2. The surfaces to be bonded are polished to a high degree of smoothness and planarity. VSE may use reactive ion etching or wet etching to slightly etch the surfaces being bonded. The surface roughness and planarity are not degraded and may be enhanced by the VSE process. The etched surfaces may be rinsed in solutions such as ammonium hydroxide or ammonium fluoride to promote the formation of desired bonding species on the surfaces.

253 citations


Journal ArticleDOI
TL;DR: In this paper, a simple approach to prepare cost effective antireflective surface directly on silicon wafers, which consists of arrays of vertically aligned silicon nanowires (VA-SiNWA), was reported.

240 citations


Journal ArticleDOI
TL;DR: Using the hydrogen plasma reaction at the intermediate temperature (300 °C), the obtained narrow, presumably hydrogen terminated GNRs (sub-5 nm) by etching of wide GNRs derived from unzipping of multiwalled carbon nanotubes that exhibited semiconducting characteristics with high on/off ratios in GNR field effect transistor devices at room temperature.
Abstract: We devised a controlled hydrogen plasma reaction at 300 °C to etch graphene and graphene nanoribbons (GNRs) selectively at the edges over the basal plane. Atomic force microscope imaging showed that the etching rates for single-layer and few-layer (≥2 layers) graphene are 0.27 ± 0.05 nm/min and 0.10 ± 0.03 nm/min, respectively. Meanwhile, Raman spectroscopic mapping revealed no D band in the planes of single-layer or few-layer graphene after the plasma reaction, suggesting selective etching at the graphene edges without introducing defects in the basal plane. We found that hydrogen plasma at lower temperature (room temperature) or a higher temperature (500 °C) could hydrogenate the basal plane or introduce defects in the basal plane. Using the hydrogen plasma reaction at the intermediate temperature (300 °C), we obtained narrow, presumably hydrogen terminated GNRs (sub-5 nm) by etching of wide GNRs derived from unzipping of multiwalled carbon nanotubes. Such GNRs exhibited semiconducting characteristics with high on/off ratios (∼1000) in GNR field effect transistor devices at room temperature.

Journal ArticleDOI
TL;DR: A cheap and green synthetic route for Cu2O nanoframes and nanocages with single-crystal walls for hollow-structure particles with well-defined shape is reported.
Abstract: In recent years, hollow-structure particles (HSPs) have been widely studied due to their unique structures and potential applications. One successful synthetic strategy involves direct construction of HSPs from functional building blocks by processes such as the Kirkendall effect, acid etching, coordination-polymer self-template-directed growth, and solid-state thermal decomposition process for the preparation of Cu7S4, [2] Fe2O3, [3] ZnO, and MnO2 [5] HSPs. However, all of the reported HSPs require further heator acid-treatment processes, which have disadvantages such as increased costs and environmental pollution. Therefore, it remains a great challenge to develop a simple, mild (at room temperature), and environmentally friendly method for the one-pot synthesis of HSPs with well-defined shape. Cu2O is a typical p-type direct band gap semiconductor with a band gap of 2.17 eV and has potential applications in solar-energy conversion, electrode materials, sensors, and catalysts. Considerable effort has been devoted to obtaining hollow Cu2O structure by employing techniques such as hydrothermal synthesis, microemulsions, template synthesis, and acid etching. Qi and co-workers prepared octahedral Cu2O nanocages by Pd-catalytic reduction of an alkaline copper tartrate complex with glucose followed by a catalytic oxidation process. More recently, truncated rhombic dodecahedral Cu2O nanoframes and nanocages were synthesized by particle aggregation and acid etching. In both synthetic processes, expensive and acidic or toxic solvents were used. Here we report a cheap and green synthetic route for Cu2O nanoframes and nanocages with single-crystal walls. In our synthetic strategy, polyhedral Cu2O particles were first prepared by adding a weak reducing agent (glucose) to a solution of copper citrate complex with polyvinylpyrrolidone (PVP) as capping agent, and then Cu2O nanoframes and nanocages were obtained in situ by oxidative etching at room temperature. Perfect Cu2O nanoframes were taken from the reaction mixture after the solution was exposed to air for 16 days at room temperature. Field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), and high-resolution TEM (HRTEM) images provided insight into the nanostructure and morphology of the Cu2O nanoframes. As shown in Figure 1A, the Cu2O nanoframes are

Journal ArticleDOI
TL;DR: Compared to the bunched SiNWs, tapered NW solar cells demonstrated superior photovoltaic characteristics, such as a short circuit current of 17.67 mA/cm² and a cell conversion efficiency of ~6.56% under 1.5 AM illumination.
Abstract: Vertically aligned silicon nanowires (SiNWs) were cost-effectively formed on a four-inch silicon wafer using a simple room temperature approach, i.e., metal-assisted electroless etching. Tapering the NWs by post-KOH dipping achieved separation of each NW from the bunched NW, resulting in a strong enhancement of broadband optical absorption. As electroless etching time increases, the optical crossover feature was observed in the tradeoff between enhanced light trapping (by graded-refractive index during initial tapering) and deteriorated reflectance (by decreasing the areal density of NWs during later tapering). Compared to the bunched SiNWs, tapered NW solar cells demonstrated superior photovoltaic characteristics, such as a short circuit current of 17.67 mA/cm² and a cell conversion efficiency of ~6.56% under 1.5 AM illumination.

Journal ArticleDOI
TL;DR: A top-down fabrication method that involves the combination of superionic-solid-state-stamping (S4) patterning with metal-assisted-chemical-etching (MacEtch) to produce silicon nanowire arrays with defined geometry and optical properties in a manufacturable fashion is reported.
Abstract: Semiconductor nanowires have potential applications in photovoltaics, batteries, and thermoelectrics We report a top-down fabrication method that involves the combination of superionic-solid-state-stamping (S4) patterning with metal-assisted-chemical-etching (MacEtch), to produce silicon nanowire arrays with defined geometry and optical properties in a manufacturable fashion Strong light emission in the entire visible and near infrared wavelength range at room temperature, tunable by etching condition, attributed to surface features, and enhanced by silver surface plasmon, is demonstrated

Patent
30 Jul 2010
TL;DR: In this paper, a method of tailoring conformality of a film deposited on a patterned surface includes depositing a film by PEALD or pulsed PECVD on the patterned surfaces, and etching the film, wherein the etching is conducted in a pulse or pulses, where a ratio of an etching rate of the film on a top surface and that of film on side walls of the patterns is controlled as a function of the etch pulse duration and the number of etching pulses to increase a conformality.
Abstract: A method of tailoring conformality of a film deposited on a patterned surface includes: (I) depositing a film by PEALD or pulsed PECVD on the patterned surface; (II) etching the film, wherein the etching is conducted in a pulse or pulses, wherein a ratio of an etching rate of the film on a top surface and that of the film on side walls of the patterns is controlled as a function of the etching pulse duration and the number of etching pulses to increase a conformality of the film; and (III) repeating (I) and (II) to satisfy a target film thickness.

Journal ArticleDOI
TL;DR: It is demonstrated that these nanowires are biodegradable, and their degradation time can be modulated by surface treatments, and a mechanism that explains these observations is proposed.
Abstract: were reported.pNWs couple the nanowire 1-D structure to the unique character-istics of porous silicon, showing promise for the realization offlexible, biodegradable electronic and photonic biomedical deviceswith high sensitivity to the surrounding environment.In this study, we show the synthesis of pNWs regardless ofsiliconresistivity.Weemploymetal-assisted electrolessetchingtosynthesizeporoussiliconbarcodenanowires(nanobarcodes)withgeometry defined by lithography. The different porosity of eachnanobarcode segment determines its specific reflection andemission spectra, and yields multicolor nanobarcodes. Weenhance the segment-specific fluorescence of nanobarcodes bydifferential loading of two sizes of quantum-dots (Q-dots). Wepresent phase diagrams that describe the effects of the metalcatalyst, composition of the etching solution, Si doping type andresistivityontheresultingsiliconnanostructures:solidnanowires,porous nanowires, porous nanowires on porous silicon film,porous silicon films and polished surfaces. Furthermore, wedemonstrate that porous silicon nanowires dissolve in simulatedphysiological conditions and that the dissolution rate can becontrolled by surface functionalization.

Journal ArticleDOI
TL;DR: An electrochemical procedure that constantly renews the surface of a carbon microelectrode using periodic triangle voltage excursions to an extended anodic potential at a scan rate of 400 V s(-1) allows for the regeneration of an electrochemically active surface and restores electrode sensitivity degraded by irreversible adsorption of chemical species.
Abstract: Electrode fouling decreases sensitivity and can be a substantial limitation in electrochemical experiments. In this work we describe an electrochemical procedure that constantly renews the surface of a carbon microelectrode using periodic triangle voltage excursions to an extended anodic potential at a scan rate of 400 V s−1. This methodology allows for the regeneration of an electrochemically active surface and restores electrode sensitivity degraded by irreversible adsorption of chemical species. We show that repeated voltammetric sweeps to moderate potentials in aqueous solution causes oxidative etching of carbon thereby constantly renewing the electrochemically active surface. Oxidative etching was established by tracking surface-localized fluorine atoms with XPS, by monitoring changes in carbon surface morphology with AFM on pyrolyzed photoresist films, and also by optical and electron microscopy. The use of waveforms with extended anodic potentials showed substantial increases in sensitivity toward ...

Journal ArticleDOI
TL;DR: This paper presents an all-silica miniature optical fiber pressure/acoustic sensor based on the Fabry-Perot (FP) interferometric principle that has great potential to be used as a non-intrusive pressure sensor in a variety of sensing applications.
Abstract: This paper presents an all-silica miniature optical fiber pressure/acoustic sensor based on the Fabry-Perot (FP) interferometric principle. The endface of the etched optical fiber tip and silica thin diaphragm on it form the FP structure. The uniform and thin silica diaphragm was fabricated by etching away the silicon substrate from a commercial silicon wafer that has a thermal oxide layer. The thin film was directly thermally bonded to the endface of the optical fiber thus creating the Fabry-Perot cavity. Thin films with a thickness from 1microm to 3microm have been bonded successfully. The sensor shows good linearity and hysteresis during measurement. A sensor with 0.75 microm-thick diaphragm thinned by post silica etching was demonstrated to have a sensitivity of 11 nm/kPa. The new sensor has great potential to be used as a non-intrusive pressure sensor in a variety of sensing applications.

Journal ArticleDOI
TL;DR: Silicon nanowire sensors developed by using top-down fabrication that is CMOS (complementary metal-oxide-semiconductor) compatible for resistive chemical detection with fast response and high sensitivity for pH detection and the long term drifting effects were investigated.
Abstract: Silicon nanowire (SiNW) sensors have been developed by using top-down fabrication that is CMOS (complementary metal‐oxide‐semiconductor) compatible for resistive chemical detection with fast response and high sensitivity. Top-down fabrication by electron beam lithography and reactive ion etching of a silicon on insulator (SOI) substrate enables compatibility with the CMOS fabrication process, accurate alignment with other electrical components, flexible design of the nanowire geometry and good control of the electrical characteristics. The SiNW sensors showed a large operation range for pH detection (pH = 4‐10) with an average sensitivity of (� R/R)/pH = 2.6%/pH and a rise time of 8 s. A small pH level difference (� pH = 0.2) near neutral pH conditions (pH = 7) could be resolved with the SiNW sensors. The sensor response to the presence of alkali metal ions and the long term drifting effects were also investigated. (Some figures in this article are in colour only in the electronic version)

Journal ArticleDOI
TL;DR: This work demonstrates a mass-production-amenable technology for fabrication, surface modification and multifunction integration in polymeric microfluidic devices, namely direct lithography on the polymeric substrate followed by polymer plasma etching, and selective plasma deposition.
Abstract: We demonstrate a mass-production-amenable technology for fabrication, surface modification and multifunction integration in polymeric microfluidic devices, namely direct lithography on the polymeric substrate followed by polymer plasma etching, and selective plasma deposition. We apply the plasma processing technology to fabricate polymeric microfluidics in poly(methyl methacrylate) (PMMA) and poly(ether ether ketone) (PEEK). First, deep anisotropic O2 plasma etching is utilized to pattern the polymer via an in situ, highly etch-resistant, thin, Si-containing photoresist, or via a thick organic photoresist. Absolute control of surface roughness (from smooth to very rough), and the production of stable-in-time (slowly ageing) superhydrophilic microchannels are demonstrated. Second, we demonstrate the spontaneous capillary pumping through such rough, superhydrophilic plasma-etched microchannels in contrast to smooth ones, even 5 weeks after fabrication. Third, by using C4F8 fluorocarbon plasma deposition through a stencil mask, we produce superhydrophobic patches inside the microchannels, and use them as passive valves. Our approach proposes “smart” multifunctional microfluidics fabricated by a plasma technology toolbox.

Patent
25 Feb 2010
TL;DR: An atomic layer etching apparatus using reactive radicals and neutral beams and an etching method using the same is described in this paper, where the authors present a reaction chamber including a stage on which a substrate to be etched is seated, an inductive coil configured to surround the exterior of the plasma chamber to generate an electric field, a grid assembly disposed at a lower part of a plasma chamber and including first, second and third grids for extracting ion beams, and a reflective body disposed under the grid assembly and configured to supply electrons to the ion beams to convert the ion beam into neutral
Abstract: An atomic layer etching apparatus using reactive radicals and neutral beams and an etching method using the same are provided. The atomic layer etching apparatus includes a reaction chamber including a stage on which a substrate to be etched is seated, a plasma generator including a plasma chamber configured to supply reactive radicals and neutral beams into the reaction chamber and receive a source gas to generate plasma, an inductive coil configured to surround the exterior of the plasma chamber to generate an electric field, a grid assembly disposed at a lower part of the plasma chamber and including first, second and third grids for extracting ion beams, and a reflective body disposed under the grid assembly and configured to supply electrons to the ion beams to convert the ion beams into neutral beams, a shutter installed between the plasma generator and the reactive chamber and configured to adjust supply of the neutral beams into the reaction chamber, a purge gas supply part configured to supply a purge gas into the reaction chamber, and a controller configured to control supply of the source gas, an etching gas and the purge gas, and opening/closing of the shutter.

Journal ArticleDOI
TL;DR: The methods developed in this study provide effective means to fabricate mesoporous silicon particles according to the principles of rational design for therapeutic vectors and to characterize the distribution of nanoparticles within the porous matrix.
Abstract: The use of mesoporous silicon particles for drug delivery has been widely explored thanks to their biodegradability and biocompatibility. The ability to tailor the physicochemical properties of porous silicon at the micro- and nanoscale confers versatility to this material. A method for the fabrication of highly reproducible, monodisperse, mesoporous silicon particles with controlled physical characteristics through electrochemical etching of patterned silicon trenches is presented. The particle size is tailored in the micrometer range and pore size in the nanometer range, the shape from tubular to discoidal to hemispherical, and the porosity from 46 to over 80%. In addition, the properties of the porous matrix are correlated with the loading of model nanoparticles (quantum dots) and their three-dimensional arrangement within the matrix is observed by transmission electron microscopy tomography. The methods developed in this study provide effective means to fabricate mesoporous silicon particles according to the principles of rational design for therapeutic vectors and to characterize the distribution of nanoparticles within the porous matrix.

Journal ArticleDOI
TL;DR: A process for the fabrication of an anatase TiO(2) mesosponge (TMS) layer by an optimized Ti anodization process in a hot glycerol electrolyte followed by a suitable etching process, which shows high photocatalytic activity and are mechanically very robust.
Abstract: We report a process for the fabrication of an anatase TiO2 mesosponge (TMS) layer by an optimized Ti anodization process in a hot glycerol electrolyte followed by a suitable etching process Such layers can easily be grown to >10 μm thickness and have regular channels and structural features in the 5−20 nm range The layers show high photocatalytic activity and are mechanically very robust The layers therefore open new pathways to the wide field of TiO2(anatase) applications

Journal ArticleDOI
TL;DR: In this article, a series of etching processes were carried out as follows: etching of electric connectors, anti-reflective coating and n-p junction, and the principal task at this point was to optimise the etching temperature, time and alkali concentration in such a way that only as much silicon was removed as necessary.

Journal ArticleDOI
TL;DR: In this article, the etching directions of (110) and (111) Si substrates are found to be influenced by the concentration of oxidant in etching solutions, and it is shown that the change of the etch directions is mainly driven by the oxidati...
Abstract: Assisted by noble metal particles, non-(100) Si substrates were etched in solutions with different oxidant concentrations at different temperatures. The etching directions of (110) and (111) Si substrates are found to be influenced by the concentration of oxidant in etching solutions. In solutions with low oxidant concentration, the etching proceeds along the crystallographically preferred ⟨100⟩ directions, whereas the etching occurs along the vertical direction relative to the surface of the substrate in solutions with high oxidant concentration. These phenomena are found for both n- and p-type substrates as well as in experiments with different oxidants. The experiments on metal-assisted chemical etching are complemented by additional experiments on metal-assisted electrochemical etching of (111) Si substrates with different current densities. As a function of current density, a change of etching directions is observed. This shows that the change of the etching directions is mainly driven by the oxidati...

Journal ArticleDOI
TL;DR: In this article, in situ etching was used to decouple the axial from the radial nanowire growth pathway, independent of other growth parameters, without concern of tapering or excess structural defects formed during radial growth.
Abstract: We report a method using in situ etching to decouple the axial from the radial nanowire growth pathway, independent of other growth parameters. Thereby a wide range of growth parameters can be explored to improve the nanowire properties without concern of tapering or excess structural defects formed during radial growth. We demonstrate the method using etching by HCl during InP nanowire growth. The improved crystal quality of etched nanowires is indicated by strongly enhanced photoluminescence as compared to reference nanowires obtained without etching.

Patent
Vinh Hoang Luong1
22 Nov 2010
TL;DR: In this article, a method for performing a selective etching process is described, which includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe x ) layer, and selectively etching the silicon layer relative to the silicon geyserium layer using a dry plasma etch process.
Abstract: A method for performing a selective etching process is described The method includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe x ) layer, and selectively etching the silicon layer relative to the silicon-germanium layer using a dry plasma etching process

01 Apr 2010
TL;DR: In this paper, an extended structure zone diagram is proposed that includes energetic deposition, characterized by a large flux of ions typical for deposition by filtered cathodic arcs and high power impulse magnetron sputtering.
Abstract: An extended structure zone diagram is proposed that includes energetic deposition, characterized by a large flux of ions typical for deposition by filtered cathodic arcs and high power impulse magnetron sputtering. The axes are comprised of a generalized homologous temperature, the normalized kinetic energy flux, and the net film thickness, which can be negative due to ion etching. It is stressed that the number of primary physical parameters affecting growth by far exceeds the number of available axes in such a diagram and therefore it can only provide an approximate and simplified illustration of the growth condition?structure relationships.

Patent
18 Jan 2010
TL;DR: In this paper, the semiconductor die are singulated from a semiconductor wafer by etching openings completely through the semiconducting wafer, and then the die are removed from the wafer.
Abstract: In one embodiment, semiconductor die are singulated from a semiconductor wafer by etching openings completely through the semiconductor wafer.

Patent
Shiang-Bau Wang1
11 Feb 2010
TL;DR: In this paper, the authors describe methods for removing a hard mask layer(s) over a polysilicon layer of a gate stack after the gate stack is etched, without the assistance of photolithography.
Abstract: The embodiments of methods described in this disclosure for removing a hard mask layer(s) over a polysilicon layer of a gate stack after the gate stack is etched allows the complete removal of the hard mask layer without the assistance of photolithography. A dielectric material is deposited over the substrate with the gate stacks. The topography of the substrate is removed by chemical mechanical polishing first. Afterwards, an etching gas (or vapor) is used to etch a portion of the remaining dielectric layer and the hard mask layer. The etching gas forms an etch byproduct that deposits on the substrate surface and can be subsequently removed by heating. The etching and heating to remove etch byproduct are repeated until the hard mask layer is completed removed. Afterwards, the remaining dielectric layer is removed by wet etch. The methods described are simpler and cheaper to use than conventional methods for hard mask removal.