scispace - formally typeset
Search or ask a question

Showing papers on "Boolean function published in 2019"


Journal ArticleDOI
TL;DR: The block decoupling problem is equivalently converted into the solvability of a set of logical matrix equations and the approaches to solve these equations are designed, based on which the suitable coordinate transformations and open-loop controllers can be determined.
Abstract: In this paper, the block decoupling of Boolean control networks is investigated via solving logical matrix equations. First, the definition of block decoupling of Boolean control networks is proposed. Second, the block decoupling problem is equivalently converted into the solvability of a set of logical matrix equations. Subsequently, the approaches to solve these equations are designed, based on which the suitable coordinate transformations and open-loop controllers can be determined. Finally, an illustrative example is given to show the effectiveness of the main results.

97 citations


Book ChapterDOI
08 Dec 2019
TL;DR: In this article, a new method to compare numbers which are encrypted by homomorphic encryption (HE) was proposed, where comparison and min/max functions were evaluated using Boolean functions where input numbers are encrypted bit-wise.
Abstract: We propose a new method to compare numbers which are encrypted by Homomorphic Encryption (HE). Previously, comparison and min/max functions were evaluated using Boolean functions where input numbers are encrypted bit-wise. However, the bit-wise encryption methods require relatively expensive computations for basic arithmetic operations such as addition and multiplication.

68 citations


Journal ArticleDOI
31 Jan 2019
TL;DR: A framework for the formal specification and verification of quantum circuits based on the Feynman path integral is introduced, and the algorithm is shown to give a polynomial-time decision procedure for checking the equivalence of Clifford group circuits.
Abstract: We introduce a framework for the formal specification and verification of quantum circuits based on the Feynman path integral. Our formalism, built around exponential sums of polynomial functions, provides a structured and natural way of specifying quantum operations, particularly for quantum implementations of classical functions. Verification of circuits over all levels of the Clifford hierarchy with respect to either a specification or reference circuit is enabled by a novel rewrite system for exponential sums with free variables. Our algorithm is further shown to give a polynomial-time decision procedure for checking the equivalence of Clifford group circuits. We evaluate our methods by performing automated verification of optimized Clifford+T circuits with up to 100 qubits and thousands of T gates, as well as the functional verification of quantum algorithms using hundreds of qubits. Our experiments culminate in the automated verification of the Hidden Shift algorithm for a class of Boolean functions in a fraction of the time it has taken recent algorithms to simulate.

49 citations


Journal ArticleDOI
TL;DR: A novel method is being proposed to construct a substitution box or Boolean function for block ciphers using Gaussian distribution and linear fractional transform that achieves better cryptographic strength as compared with the state-of-the-art techniques.
Abstract: In this paper, a novel method is being proposed to construct a substitution box or Boolean function for block ciphers using Gaussian distribution and linear fractional transform. The substitution box is constructed by employing a linear fractional transform based on Box–Muller transform, polarization decision, and central limit algorithm. The cryptographic strength of the proposed S-boxes is evaluated with standardized tests such as linear approximation probability, unified averaged changed intensity, bit independent criterion, histogram analysis, nonlinearity score, strict avalanche criterion, and differential approximation probability. The results show that the proposed substitution box achieves better cryptographic strength as compared with the state-of-the-art techniques.

48 citations


Journal ArticleDOI
TL;DR: A novel algorithm that solves a generalized version of the Deutsch-Jozsa problem with the potential to classify an oracle U F, that represents an unknown Boolean function on n Boolean variables, to one of 2 n different classes instead of only two classes which are constant and balanced classes.
Abstract: In this paper, we propose a novel algorithm that solves a generalized version of the Deutsch-Jozsa problem. The proposed algorithm has the potential to classify an oracle U F , that represents an unknown Boolean function on n Boolean variables, to one of 2 n different classes instead of only two classes which are constant and balanced classes in the case of Deutsch-Jozsa algorithm. The proposed algorithm is based on the use of entanglement measure to explore 2 n - 2 additional classes compared to the standard Deutsch-Jozsa algorithm. In addition, the comparison between the proposed quantum algorithm and the classical one is investigated in details. The comparison shows that the proposed algorithm is faster when the number of Boolean variables exceed 14 variables.

47 citations


Journal ArticleDOI
TL;DR: A pinning controller design algorithm is proposed to set stabilize any PBN with probability one by changing the columns of its transition matrix and solving some logical matrices equations based on which the structure matrices of the pinning controllers are given.
Abstract: Probabilistic Boolean network (PBN) is a kind of stochastic logical system in which update functions are randomly selected from a set of candidate Boolean functions according to a prescribed probability distribution at each time step. In this brief, a pinning controller design algorithm is proposed to set stabilize any PBN with probability one. First, an algorithm is given to change the columns of its transition matrix. Then, according to the newly obtained transition matrix, a fraction of nodes can be selected as pinning nodes to inject control inputs to achieve set stabilization. The problem is challenging since the Boolean functions in a PBN are not deterministic but are randomly chosen among several Boolean functions. Furthermore, the structure matrices of the pinning controllers are given by solving some logical matrices equations based on which a pinning controller design algorithm is provided to set stabilize the PBN with probability one. Finally, the theoretical results are validated using several examples.

47 citations


Journal ArticleDOI
TL;DR: A scalable field-programmable gate array implementation of invertible p-circuits and a generalized tile of weighted probabilistic bits to which a large class of problems beyond invertable Boolean logic can be mapped and how invertibility can be applied to interesting problems such as the NP-complete subset sum problem by solving a small instance of this problem in hardware.
Abstract: Probabilistic spin logic is a recently proposed computing paradigm based on unstable stochastic units called probabilistic bits ( $p$ -bits) that can be correlated to form probabilistic circuits (p-circuits). These p-circuits can be used to solve the problems of optimization, inference, and implement precise Boolean functions in an “inverted” mode, where a given Boolean circuit can operate in reverse to find the input combinations that are consistent with a given output. In this brief, we present a scalable field-programmable gate array implementation of such invertible p-circuits. We implement a “weighted” $p$ -bit that combines stochastic units with localized memory structures. We also present a generalized tile of weighted $p$ -bits to which a large class of problems beyond invertible Boolean logic can be mapped and how invertibility can be applied to interesting problems such as the NP-complete subset sum problem by solving a small instance of this problem in hardware.

42 citations


Journal ArticleDOI
TL;DR: The synthesis framework is based on lookup-table (LUT) networks, which play a key role in conventional logic synthesis, and can advance over the state-of-the-art hierarchical reversible logic synthesis algorithms.
Abstract: We present a synthesis framework to map logic networks into quantum circuits for quantum computing. The synthesis framework is based on lookup-table (LUT) networks, which play a key role in conventional logic synthesis. Establishing a connection between LUTs in an LUT network and reversible single-target gates in a reversible network allows us to bridge conventional logic synthesis with logic synthesis for quantum computing, despite several fundamental differences. We call our synthesis framework LUT-based hierarchical reversible logic synthesis (LHRS). Input to LHRS is a classical logic network representing an arbitrary Boolean combinational operation; output is a quantum network (realized in terms of Clifford+ T gates). The framework allows one to account for qubit count requirements imposed by the overlying quantum algorithm or target quantum computing hardware. In a fast first step, an initial network is derived that only consists of single-target gates and already completely determines the number of qubits in the final quantum network. Different methods are then used to map each single-target gate into Clifford+ T gates, while aiming at optimally using available resources. We demonstrate the versatility of our method by conducting a design space exploration using different parameters on a set of large combinational benchmarks. On the same benchmarks, we show that our approach can advance over the state-of-the-art hierarchical reversible logic synthesis algorithms.

34 citations


Journal ArticleDOI
TL;DR: This paper proposes a SCC-based decomposition method for Boolean networks and proves the correctness of the proposed method and demonstrates its efficiency with two real-life biological networks.
Abstract: Boolean networks is a well-established formalism for modelling biological systems. A vital challenge for analyzing a Boolean network is to identify all the attractors. This becomes more challenging for large asynchronous Boolean networks, due to the asynchronous scheme. Existing methods are prohibited due to the well-known state-space explosion problem in large Boolean networks. In this paper, we tackle this challenge by proposing a SCC-based decomposition method. We prove the correctness of our proposed method and demonstrate its efficiency with two real-life biological networks.

34 citations


Journal ArticleDOI
09 May 2019-Energies
TL;DR: A qualitative analysis of wind turbine manufacturing is carried out using logical decision trees and binary decision diagrams and an optimization of budgets is employed based on importance measures because there is no optimal method that can establish the importance measures.
Abstract: The wind energy industry is expanding in order to be able to meet the current and future energy demand, and is supported by governments in that renewable energy investment has been made. Optimal decision making (DM) in wind turbine manufacturing is required to guarantee the competitiveness of the business. This paper considers decision making for wind turbine manufacturing using a logical decision tree (LDT) and binary decision diagrams (BDD). A qualitative analysis of wind turbine manufacturing is carried out using logical decision trees. They are used for a qualitative study of the case study. Binary decision diagrams are used to obtain the Boolean function and, therefore, to carry out a quantitative analysis. Finally, an optimization of budgets is employed based on importance measures. There is no optimal method that can establish the importance measures. The following heuristic methods have been used to find a solution close to the optimal: Fussell-Vesely, Birnbaum and Criticality. The computational cost is reduced by ranking the events. The heuristic methods to establish the best rankings are: Top-Down-Left-Right, Level based method, AND based method, Breadth-First Search (BFS) and Depth First Search (DFS). A real case study is considered, in which a static and dynamic analysis is carried out.

31 citations


Posted Content
TL;DR: This article develops a method, via algebraic graph theoretic approach, to determine whether the set of reachable time steps and theset of unreachable time steps, associated with the given pair of states, are finite or infinite.
Abstract: A Boolean control network (BCN) is a discrete-time dynamical system whose variables take values from a binary set $\{0,1\}$. At each time step, each variable of the BCN updates its value simultaneously according to a Boolean function which takes the state and control of the previous time step as its input. Given an ordered pair of states of a BCN, we define the set of reachable time steps as the set of positive integer $k$'s where there exists a control sequence such that the BCN can be steered from one state to the other in exactly $k$ time steps; and the set of unreachable time steps as the set of $k$'s where there does not exist any control sequences such that the BCN can be steered from one state to the other in exactly $k$ time steps. We consider in this paper the so-called categorization problem of a BCN, i.e., we develop a method, via algebraic graph theoretic approach, to determine whether the set of reachable time steps and the set of unreachable time steps, associated with the given pair of states, are finite or infinite. Our results can be applied to classify all ordered pairs of states into four categories, depending on whether the set of reachable (unreachable) time steps is finite or not.

Journal ArticleDOI
TL;DR: This paper focuses on the nonlinearity of Boolean functions with restricted input and presents new results related to the analysis of this non linearity improving the upper bound given by Carlet et al.
Abstract: Very recently, Carlet, Meaux and Rotella have studied the main cryptographic features of Boolean functions when, for a given number n of variables, the input to these functions is restricted to some subset E of $\mathbb {F}_{2}^{n}$. Their study includes the particular case when E equals the set of vectors of fixed Hamming weight, which is important in the robustness of the Boolean function involved in the FLIP stream cipher. In this paper we focus on the nonlinearity of Boolean functions with restricted input and present new results related to the analysis of this nonlinearity improving the upper bound given by Carlet et al.

Journal ArticleDOI
TL;DR: QCA inherent capabilities that can facilitate the design of many important gates such as the XOR gate and multiplexer (MUX) without following any Boolean function to get an optimum design in terms of complexity and delay are demonstrated.
Abstract: Quantum-dot cellular automata (QCA) has attracted computer scientists as new emerging nanotechnology for replacement the current CMOS technology because it has unique characteristics such as high frequency, extremely small feature size and low power consumption. The main building blocks in QCA are the majority gate and inverter so any Boolean function can be represented using these gates. Many important circuits were the target for implemented in this technology in an optimal form, such as random-access memory (RAM) cell. QCA-RAM cells were introduced in literature with different forms but most of them are not optimized enough. This paper aims to demonstrate QCA inherent capabilities that can facilitate the design of many important gates such as the XOR gate and multiplexer (MUX) without following any Boolean function to get an optimum design in terms of complexity and delay.,In this paper, a novel structure of QCA-MUX in an optimal form will be used to design two unique structures of a RAM cell. The proposed RAM cells are the lowest cost required compared with different counterparts. The presented RAM cells used a new approach that follows the new suggested block diagram. The presented circuits are simulated and tested with QCADesigner and QCAPro tools.,The comparison of the proposed circuits with the previously reported in the literature show noticeable improvements in speed, area, and the number of cells. The cost function analysis results for the proposed RAM cells show significant improvement compared to older circuits.,A novel structure of QCA-MUX in an optimal form will be used to design two unique structures of a RAM cell.

Journal ArticleDOI
TL;DR: This paper provides a systematic study of two-to-one mappings that are defined over finite fields, characterize such mappings by means of the Walsh transforms and shows applications of 2- to-1 mappings for constructions of bent Boolean and vectorial bent functions, semi-bent functions, planar functions and permutation polynomials.
Abstract: Two-to-one (2-to-1) mappings over finite fields play an important role in symmetric cryptography. In particular they allow to design APN functions, bent functions and semi-bent functions. In this paper we provide a systematic study of two-to-one mappings that are defined over finite fields. We characterize such mappings by means of the Walsh transforms. We also present several constructions, including an AGW-like criterion, constructions with the form of $x^{r}h(x^{(q-1)/d})$ , those from permutation polynomials, from linear translators and from APN functions. Then we present 2-to-1 polynomial mappings in classical classes of polynomials: linearized polynomials and monomials, low degree polynomials, Dickson polynomials and Muller-Cohen-Matthews polynomials, etc. Lastly, we show applications of 2-to-1 mappings over finite fields for constructions of bent Boolean and vectorial bent functions, semi-bent functions, planar functions and permutation polynomials. In all those respects, we shall review what is known and provide several new results.

Journal ArticleDOI
TL;DR: In this paper, the authors characterize CCZ-equivalence as a property of the zeroes in the Walsh spectrum of a function F : F 2 n → F 2 m or, equivalently, of the zero in its Difference Distribution Table.

Journal ArticleDOI
TL;DR: This paper proposes a look-ahead strategy for Boolean functions using Memristor Aided LoGIC (MAGIC) design style in the memristive crossbar, which supports in-memory computing.

Journal ArticleDOI
TL;DR: The robust invariant set (RIS) of Boolean (control) networks with disturbances is investigated, and a discrete derivative of Boolean functions at the fixed point is used to analyze the robust invariance.
Abstract: In this paper, the robust invariant set (RIS) of Boolean (control) networks with disturbances is investigated. First, for a given fixed point, consider a special set called immediate neighborhoods of the fixed point; then a discrete derivative of Boolean functions at the fixed point is used to analyze the robust invariance, based on which a sufficient condition is obtained. Second, for more general sets, the robust output control invariant set (ROCIS) of Boolean control networks (BCNs) is investigated by semitensor product (STP) of matrices. Then, under a given output feedback controller, we obtain a necessary and sufficient condition to check whether a given set is robust control invariant set (RCIS). Furthermore, output feedback controllers are designed to make a set to be a RCIS. Finally, the proposed methods are illustrated by a reduced model of the lac operon in E. coli.

Proceedings ArticleDOI
15 Nov 2019
TL;DR: This paper presents a novel oracle-less and topology-guided attack denoted as TGA, which relies on identifying repeated functions for determining the value of a key bit and presents a solution to thwart TGA and make logic locking secure.
Abstract: Due to the outsourcing of semiconductor design and manufacturing, a number of threats have emerged in recent years, and they are overproduction of integrated circuits (ICs), illegal sale of defective ICs, and piracy of intellectual properties (IPs). Logic locking is one method to enable trust in this complex IC design and manufacturing processes, where a design is obfuscated by inserting a lock to modify the underlying functionality so that an adversary cannot make a chip to function properly. A locked chip will only work properly once it is activated by programming with a secret key into its tamper-proof memory. Over the years, researchers have proposed different locking mechanisms primarily to prevent Boolean satisfiability (SAT)-based attacks, and successfully preserve the security of a locked design. However, an untrusted foundry, the adversary, can use many other effective means to find out the secret key. In this paper, we present a novel oracle-less and topology-guided attack denoted as TGA. The attack relies on identifying repeated functions for determining the value of a key bit. The proposed attack does not require any data from an unlocked chip, and eliminates the need for an oracle. The attack is based on self-referencing, i.e., it compares the internal netlist to find the key. The proposed graph search algorithm efficiently finds a duplicate function of the locked part of the circuit. Our proposed attack correctly estimate a key bit very efficiently, and it only takes few seconds to determine the key bit. We also present a solution to thwart TGA and make logic locking secure.

Book ChapterDOI
21 May 2019
TL;DR: The main result is that the problem of verifying the bith threshold separability is NP-complete and the same is true for neural networks consisting of computational bithreshold real-weighted neural-like units.
Abstract: We study the questions concerning the properties and capabilities of computational bithreshold real-weighted neural-like units. We give and justify the two sufficient conditions ensuring the possibility of separation of two sets in n-dimensional vector space by means of one bithreshold neuron. Our approach is based on application of convex and affine hulls of sets and is feasible in the case when one of the two sets is a compact and the second one is finite. We also correct and refine some previous results concerning bithreshold separability. Then the hardness of the learning bithreshold neurons is considered. We examine the complexity of the problem of checking whether the given Boolean function of n variables can be realizable by single bithreshold unit. Our main result is that the problem of verifying the bithreshold separability is NP-complete. The same is true for neural networks consisting of such computational units. We propose some continuous modifications of the bithreshold activation function to smooth away these difficulties and to make possible the application of modern paradigms and learning techniques for such networks.

Posted Content
TL;DR: In this paper, the effect of balanced and unbalanced crossover operators against three optimization problems from the area of cryptography and coding theory was investigated, including nonlinear balanced Boolean functions, binary Orthogonal Arrays (OA), and bent functions.
Abstract: In several combinatorial optimization problems arising in cryptography and design theory, the admissible solutions must often satisfy a balancedness constraint, such as being represented by bitstrings with a fixed number of ones. For this reason, several works in the literature tackling these optimization problems with Genetic Algorithms (GA) introduced new balanced crossover operators which ensure that the offspring has the same balancedness characteristics of the parents. However, the use of such operators has never been thoroughly motivated, except for some generic considerations about search space reduction. In this paper, we undertake a rigorous statistical investigation on the effect of balanced and unbalanced crossover operators against three optimization problems from the area of cryptography and coding theory: nonlinear balanced Boolean functions, binary Orthogonal Arrays (OA) and bent functions. In particular, we consider three different balanced crossover operators (each with two variants: "left-to-right" and "shuffled"), two of which have never been published before, and compare their performances with classic one-point crossover. We are able to confirm that the balanced crossover operators performs better than all three balanced crossover operators. Furthermore, in two out of three crossovers, the "left-to-right" version performs better than the "shuffled" version.

Journal ArticleDOI
Huachao Liu, Yang Liu, Yuanyuan Li, Zhen Wang1, Fuad E. Alsaadi 
TL;DR: This study addresses the observability of Boolean networks, using semi-tensor product (STP) of matrices, and it is found that all states reaching to observable states are observable.
Abstract: This study addresses the observability of Boolean networks (BNs), using semi-tensor product (STP) of matrices First, unobservable states can be divided into two types, and the first type of unobservable states can be easily determined by blocking idea Second, it is found that all states reaching to observable states are observable Based on subgraph of transition matrix and blocking idea, the second type of unobservable states can be also determined Approaches are obtained to directly determine some observable or unobservable states An algorithm is designed for determining the observability of BNs as well Examples are given to illustrate the effectiveness of the given results

Journal ArticleDOI
TL;DR: Strongly walk-regular graphs are constructed as coset graphs of the duals of three-weight codes over Fq, a natural generalization of partial difference sets.
Abstract: We construct strongly walk-regular graphs as coset graphs of the duals of three-weight codes over $$\mathbb {F}_q.$$ The columns of the check matrix of the code form a triple sum set, a natural generalization of partial difference sets. Many infinite families of such graphs are constructed from cyclic codes, Boolean functions, and trace codes over fields and rings. Classification in short code lengths is made for $$q=2,3,4$$ .

Proceedings ArticleDOI
01 Nov 2019
TL;DR: In this paper, a Boolean satisfiability problem based on Answer-Set Programming with a parametrized complexity is formulated and a complete non-redundant characterization of the set of solutions is given.
Abstract: Boolean networks model finite discrete dynamical systems with complex behaviours. The state of each component is determined by a Boolean function of the state of (a subset of) the components of the network. This paper addresses the synthesis of these Boolean functions from constraints on their domain and emerging dynamical properties of the resulting network. The dynamical properties relate to the existence and absence of trajectories between partially observed configurations, and to the stable behaviours (fixpoints and cyclic attractors). The synthesis is expressed as a Boolean satisfiability problem relying on Answer-Set Programming with a parametrized complexity, and leads to a complete non-redundant characterization of the set of solutions. Considered constraints are particularly suited to address the synthesis of models of cellular differentiation processes, as illustrated on a case study. The scalability of the approach is demonstrated on random networks with scale-free structures up to 100 to 1,000 nodes depending on the type of constraints.

Posted Content
TL;DR: It is shown that the proposed dNL-ILP solver supports desirable features such as recursion and predicate invention and outperforms the state of the art ILP solvers in classification tasks for Mutagenesis, Cora and IMDB datasets.
Abstract: We propose a novel paradigm for solving Inductive Logic Programming (ILP) problems via deep recurrent neural networks. This proposed ILP solver is designed based on differentiable implementation of the deduction via forward chaining. In contrast to the majority of past methods, instead of searching through the space of possible first-order logic rules by using some restrictive rule templates, we directly learn the symbolic logical predicate rules by introducing a novel differentiable Neural Logic (dNL) network. The proposed dNL network is able to learn and represent Boolean functions efficiently and in an explicit manner. We show that the proposed dNL-ILP solver supports desirable features such as recursion and predicate invention. Further, we investigate the performance of the proposed ILP solver in classification tasks involving benchmark relational datasets. In particular, we show that our proposed method outperforms the state of the art ILP solvers in classification tasks for Mutagenesis, Cora and IMDB datasets.

DOI
17 Jul 2019
TL;DR: In this article, the problem of testing whether an unknown n-variable Boolean function is a k-junta in the distribution-free property testing model was considered, where the distance between functions is measured with respect to an arbitrary and unknown probability distribution over {0, 1}n.
Abstract: We consider the problem of testing whether an unknown n-variable Boolean function is a k-junta in the distribution-free property testing model, where the distance between functions is measured with respect to an arbitrary and unknown probability distribution over {0, 1}n. Chen, Liu, Servedio, Sheng and Xie [35] showed that the distribution-free k-junta testing can be performed, with one-sided error, by an adaptive algorithm that makes O(k2)/ϵ queries. In this paper, we give a simple two-sided error adaptive algorithm that makes O{k/ϵ) queries.

Journal ArticleDOI
TL;DR: This work proposes a method to find the multiplicative complexity of Boolean functions by analyzing circuits with a particular number of AND gates and utilizing the affine equivalence of functions, and uses this method to study the multiplative complexity of 6-variable Boolean functions and calculate the multiplier complexities of all 150 357 affines equivalence classes.
Abstract: The multiplicative complexity of a Boolean function is the minimum number of two-input AND gates that are necessary and sufficient to implement the function over the basis (AND, XOR, NOT). Finding the multiplicative complexity of a given function is computationally intractable, even for functions with small number of inputs. Turan et al. [1] showed that n-variable Boolean functions can be implemented with at most n-1 AND gates for n ≤ 5. A counting argument can be used to show that, for n ≥ 7, there exist n-variable Boolean functions with multiplicative complexity of at least n. In this work, we propose a method to find the multiplicative complexity of Boolean functions by analyzing circuits with a particular number of AND gates and utilizing the affine equivalence of functions. We use this method to study the multiplicative complexity of 6-variable Boolean functions, and calculate the multiplicative complexities of all 150357 affine equivalence classes. We show that any 6-variable Boolean function can be implemented using at most 6 AND gates. Additionally, we exhibit specific 6-variable Boolean functions which have multiplicative complexity 6.

Journal ArticleDOI
TL;DR: An r-stage Fibonacci nonlinear feedback shift regisger (NFSR) is described, which is a linear feedback shift register for binary storage devices whose contents are labelled as Y1, Y2, . . . , Yr from left to right.
Abstract: Figure A1 describes an r-stage Fibonacci nonlinear feedback shift regisger (NFSR). Here small squares represent binary storage devices, also called bits, whose contents are labelled as Y1, Y2, . . . , Yr from left to right. They together form the state of the NFSR, denoted by Y = [Y1 Y2 · · ·Yr] . The nonlinear Boolean function h in the rectangle is called the feedback function of the NFSR. If the feedback function h is degenerated to a linear Boolean function, then the NFSR is reduced to a linear feedback shift register (LFSR). The content Y1 is the output of the NFSR. The NFSR is nonsingular if and only if its feedback function h is nonsingular, i.e., h(Y1, Y2, . . . , Yr) = Y1 ⊕ h̃(Y2, . . . , Yr) [1]. The function hc(Y1, Y2, . . . , Yr+1) = Yr+1 ⊕ h(Y1, Y2, . . . Yr) is called the characteristic function of the NFSR. The NFSR can be described by the nonlinear system:  Y1(t+ 1) = Y2(t), .. Yr−1(t+ 1) = Yr(t), Yr(t+ 1) = h(Y1(t), Y2(t), . . . , Yr(t)). (A1)

Journal ArticleDOI
TL;DR: This paper presents a new construction of odd-variable rotation symmetric Boolean functions with optimal algebraic immunity, and checks that such functions have almost optimal immunity against fast algebraic attacks for small variables.

Journal ArticleDOI
TL;DR: This paper presents a novel and effective logic synthesis flow able to identify threshold logic functions during the technology mapping process that provides more efficient logic covering, exploring also redundant cuts.
Abstract: This paper presents a novel and effective logic synthesis flow able to identify threshold logic functions during the technology mapping process. It provides more efficient logic covering, exploring also redundant cuts. Moreover, the proposed design flow takes into account different circuit area estimations, such as the sum of input weights and threshold values, the gate fanin and the number of threshold logic gates. As a result, the mapped circuits present a reduction up to 47% and 67% in area and logic depth, respectively, in comparison to the most recent related approaches.

Journal ArticleDOI
TL;DR: This paper proposes a large family of Boolean functions which are (almost) balanced on every set of vectors in F2n∖{0,1}$ with constant Hamming weight and presents the first time that W(A)PB functions with good local algebraic immunities are presented.
Abstract: The main cryptographic features of Boolean functions when the input is restricted to some subset of ${\mathbb {F}_{2}^{n}}$ are studied recently because of the innovative stream cipher FLIP Meaux et al. (2016). In this paper, we propose a large family of Boolean functions which are (almost) balanced on every set of vectors in ${\mathbb {F}_{2}^{n}}\setminus \{\mathbf {0},\mathbf {1}\}$ with constant Hamming weight (the so-called weightwise (almost) perfectly balanced, W(A)PB). We show that these W(A)PB functions have optimal algebraic immunity on ${\mathbb {F}_{2}^{n}}$ and good algebraic immunity on some subsets of vectors in ${\mathbb {F}_{2}^{n}}$, especially on the subsets of vectors with constant Hamming weight. This is the first time that W(A)PB functions with good local algebraic immunities are presented. Moreover, we discuss the nonlinearity and weightwise nonlinearity of these functions.