scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2020"


Reference BookDOI
Bruce W. Smith, Kazuaki Suzuki1
01 May 2020
TL;DR: In this article, the authors present an overview of optical steppers and scanners for advanced micro-lithography systems and their applications in critical-dimensional metrology for integrated-circuit technology.
Abstract: EXPOSURE SYSTEM System Overview of Optical Steppers and Scanners Michael S. Hibbs Optical Lithography Modeling Chris A. Mack Optics for Photolithography Bruce W. Smith Excimer Laser for Advanced Microlithography Palash Das Alignment and Overlay Gregg M. Gallatin Electron Beam Lithography System Kazuaki Suzuki X-Ray Lithography Takumi Ueno EUV Lithography Stefan Wurm and Charles Gwyn Imprint Lithography Douglas J. Resnick RESISTS AND PROCESSING Chemistry of Photoresist Materials Takumi Ueno and Robert D. Allen Resist Processing Bruce W. Smith Multilayer Resist Technology Bruce W. Smith and Maureen Hanratty Dry Etching of Photoresists Roderick R. Kunz METROLOGY AND NANOLITHOGRAPHY Critical-Dimensional Metrology for Integrated-Circuit Technology Herschel M. Marchman, Gian Lorusso, Mike Adel, and Sanjay Yedur Electron Beam Nanolithography Elizabeth A. Dobisz, Zvonimir Z. Bandic, and Martin C. Peckerar Index

219 citations


Journal ArticleDOI
TL;DR: In this paper, a composite structure of an Au nanodisk array and an Au film is designed to excite local surface plasmons and propagating surface plasms and generate strong resonance coupling.
Abstract: A composite structure of an Au nanodisk array and an Au film is designed to excite local surface plasmons and propagating surface plasmons and generate strong resonance coupling. The finite difference time domain method was used to study the surface plasmons effect of the structure. The composite substrate of an Au disk array with a 760-nm period and a 130-nm diameter was prepared via electron beam lithography. The SERS characteristics of the substrate were studied using rhodamine 6G (R6G) as a Raman probe molecule. The Raman intensity of R6G on the substrate reached values as high as 82.9% of the first measurement intensity, after the SERS substrate had been exposed to the atmosphere for 30 days. The results show that the proposed substrate yields good uniformity, reproducibility, and stability, thereby providing an effective and feasible scheme for the detection of molecules.

33 citations


Journal ArticleDOI
TL;DR: The direct patterning of colors using the bombardment of a focused beam of electrons onto a thin-film stack consisting of polymethyl methacrylate coated with a thin nickel film is demonstrated, resulting directly in a color print without the need for pre-patterned substrates.
Abstract: The direct patterning of colors using the bombardment of a focused beam of electrons onto a thin-film stack consisting of poly(methyl methacrylate) coated with a thin nickel film is demonstrated. This direct electron-beam color printing approach creates variations in the height of a Fabry-Perot (FP) cavity, resulting directly in a color print without the need for prepatterned substrates, distinct from some direct laser writing methods. Notably, the resolution of the color prints is defined by the electron beam. Height measurements with ∼5 nm accuracy through color image analysis of an electron-beam-patterned FP cavity were carried out. This technique also introduces a reflectance-based measurement of the point exposure function of a focused electron beam, aiding in rapid proximity effect corrections. In addition, the grayscale lithographic nature of this process was used to produce blazed gratings and could enable the fabrication of other 2.5D nanostructures with precise height control.

32 citations


Journal ArticleDOI
TL;DR: The results highlighted that the obtained CuO–ZnO core–shell nanowires are emerging as potential building blocks for a next generation of photodetector devices.
Abstract: CuO-ZnO core-shell radial heterojunction nanowire arrays were obtained by a simple route which implies two cost-effective methods: thermal oxidation in air for preparing CuO nanowire arrays, acting as a p-type core and RF magnetron sputtering for coating the surface of the CuO nanowires with a ZnO thin film, acting as a n-type shell. The morphological, structural, optical and compositional properties of the CuO-ZnO core-shell nanowire arrays were investigated. In order to analyse the electrical and photoelectrical properties of the metal oxide nanowires, single CuO and CuO-ZnO core-shell nanowires were contacted by employing electron beam lithography (EBL) and focused ion beam induced deposition (FIBID). The photoelectrical properties emphasize that the p-n radial heterojunction diodes based on single CuO-ZnO core-shell nanowires behave as photodetectors, evidencing a time-depending photoresponse under illumination at 520 nm and 405 nm wavelengths. The performance of the photodetector device was evaluated by assessing its key parameters: responsivity, external quantum efficiency and detectivity. The results highlighted that the obtained CuO-ZnO core-shell nanowires are emerging as potential building blocks for a next generation of photodetector devices.

29 citations


Journal ArticleDOI
TL;DR: The Ni-MOCs based resist investigated under HIBL and EBL elucidates the ability of its potential for sub-10 nm technology node, under standard processing conditions.
Abstract: Hybrid metal–organic cluster resist materials, also termed as organo-inorganics, demonstrate their potential for use in next-generation lithography owing to their ability for patterning down to ∼10...

27 citations


Journal ArticleDOI
TL;DR: In this paper, an intuitive fall-to-rise scheme is proposed and experimentally validated using a new concept of cascade domino lithography for making extremely sharp bowtie nanoantennas with sub-1'nm radius of curvature reaching the size of a gold nanocluster as well as single-digit-nanometer gaps between such sharp tips.

25 citations


Journal ArticleDOI
TL;DR: It is found that both photons and electrons can induce a solubility switch of both PbS and CdSe quantum dot films, which is ascribed to cross-linking of the organic ligands.
Abstract: Colloidal quantum dots have found many applications and patterning them on micro- and nanoscale would open a new dimension of tunability for the creation of smaller scale (flexible) electronics or nanophotonic structures. Here we present a simple, general, one-step top-down patterning technique for colloidal quantum dots by means of direct optical or electron beam lithography. We find that both photons and electrons can induce a solubility switch of both PbS and CdSe quantum dot films. The solubility switch can be ascribed to cross-linking of the organic ligands, which we observe from exposure with deep-UV photons (5.5 eV) to extreme-UV photons (91.9 eV), and low-energy (3–70 eV) as well as highly energetic electrons (50 keV). The required doses for patterning are relatively low and feature sizes can be as small as tens of nanometers. The luminescence properties as well as carrier lifetimes remain similar after patterning.

25 citations


Journal ArticleDOI
TL;DR: A streamlined and ecofriendly approach to implement e-beam patterning on arbitrary shaped substrates, exemplified by solvent-free nanofabrication on optical fibers, which provides a fresh perspective in EBL-based processing.
Abstract: Advances in electron-beam lithography (EBL) have fostered the prominent development of functional micro/nanodevices. Nonetheless, traditional EBL is predominantly applicable to large-area planar substrates and often suffers from chemical contamination and complex processes for handling resists. This paper reports a streamlined and ecofriendly approach to implement e-beam patterning on arbitrary shaped substrates, exemplified by solvent-free nanofabrication on optical fibers. The procedure starts with the vapor deposition of water ice as an electron resist and ends in the sublimation of the ice followed by a "blow-off" process. Without damage and contamination from chemical solvents, delicate nanostructures and quasi-3D structures are easily created. A refractive index sensor is further demonstrated by decorating plasmonic nanodisk arrays on the end face of a single-mode fiber. Our study provides a fresh perspective in EBL-based processing, and more exciting research exceeding the limits of traditional approaches is expected.

25 citations


Journal ArticleDOI
TL;DR: In this article, single-photon sources based on InGaAs quantum dots (QDs) emitting in the telecom O-band were developed and studied using in situ electron beam lithography in combination with thermocompression bonding to realize a backside gold mirror.
Abstract: In this work, we develop and study single-photon sources based on InGaAs quantum dots (QDs) emitting in the telecom O-band. Quantum devices are fabricated using in situ electron beam lithography in combination with thermocompression bonding to realize a backside gold mirror. Our structures are based on InGaAs/GaAs heterostructures, where the QD emission is redshifted toward the telecom O-band at 1.3 μm via a strain-reducing layer. QDs pre-selected by cathodoluminescence mapping are embedded into mesa structures with a backside gold mirror for enhanced photon-extraction efficiency. Photon-autocorrelation measurements under pulsed non-resonant wetting-layer excitation are performed at temperatures up to 40 K, showing pure single-photon emission, which makes the devices compatible with stand-alone operation using Stirling cryocoolers. Using pulsed p-shell excitation, we realize single-photon emission with a high multi-photon suppression of g(2)(0) = 0.027 ± 0.005, an as-measured two-photon interference visibility of (12 ± 4)%, a post-selected visibility of (96 ± 10)%, and an associated coherence time of (212 ± 25) ps. Moreover, the structures show an extraction efficiency of ∼5%, which is comparable to values expected from numeric simulations of this photonic structure. Further improvements of our devices will enable implementations of quantum communication via optical fibers.

23 citations


Journal ArticleDOI
TL;DR: In this paper, the surface plasmon resonances are adjusted to the excitation wavelength of Raman scattering, and the optimized geometric parameters of the structure with the dual-wavelength excitation characteristic are obtained.
Abstract: In this paper, we studied the hybrid surface plasmons excited by the heterogeneous composite structure of Au nano-array and Ag film via surface enhanced Raman scattering (SERS). By using the finite difference time domain method, the surface plasmon resonances are adjusted to the excitation wavelength of Raman scattering, and the optimized geometric parameters of the structure with the dual-wavelength excitation characteristic are obtained. Utilizing the structure with above parameters which fabricated by electron beam lithography as SERS substrate, the Raman spectra at two excitation wavelengths were gained. The experimental results demonstrate that the heterogeneous composite structure can simultaneously excite two different types of surface plasmons. This work can provide a good reference for the future design of nano-devices based on surface plasmon.

23 citations


Journal ArticleDOI
TL;DR: It is shown that washboard-type sidewall surface roughness arising from the Bosch DRIE process can be compensated for in the design of the metasurface, without deteriorating lens quality.
Abstract: We demonstrate the fabrication of diffraction-limited dielectric metasurface lenses for NIR by the use of standard industrial high-throughput silicon processing techniques: UV nano imprint lithography (UV-NIL) combined with continuous reactive ion etching (RIE) and pulsed Bosch deep reactive ion etching (DRIE). As the research field of metasurfaces moves towards applications, these techniques are relevant as potential replacements of commonly used cost-intensive fabrication methods utilizing electron beam ithography. We show that washboard-type sidewall surface roughness arising from the Bosch DRIE process can be compensated for in the design of the metasurface, without deteriorating lens quality. Particular attention is given to fabrication challenges that must be overcome towards high-throughput production of relevance to commercial applications. Lens efficiencies are measured to be 25.5% and 29.2% at wavelengths λ = 1.55μm and λ = 1.31μm, respectively. A number of routes towards process optimization are proposed in relation to encountered challenges.

Journal ArticleDOI
TL;DR: The in- and outcoupling of an image through two blazed gratings appearing sharp and non-distorted in the environment is shown.
Abstract: In a waveguide-type display for augmented reality, the image is injected in the waveguide and extracted in front of the eye appearing superimposed on the real-world scene. An elegant and compact way of coupling these images in and out is by using blazed gratings, which can achieve high diffraction efficiencies. We report the design of blazed gratings for green light (λ = 543 nm) and a diffraction angle of 43°. The blazed gratings with a pitch of 508 nm and a fill factor of 0.66 are fabricated using grayscale electron beam lithography. We outline the subsequent replication in a polymer waveguide material with ultraviolet nanoimprint lithography and confirm a throughput efficiency of 17.4%. We finally show the in- and outcoupling of an image through two blazed gratings appearing sharp and non-distorted in the environment.

Proceedings ArticleDOI
12 Dec 2020
Abstract: We report on a flexible 300 mm process that optimally combines optical and electron beam lithography to fabricate silicon spin qubits. It enables on-the-fly layout design modifications while allowing devices with either n- or p-type ohmic implants, a pitch smaller than 100 nm, and uniform critical dimensions down to 30 nm with a standard deviation ~ 1.6 nm. Various n- and p-type qubits are characterized in a dilution refrigerator at temperatures ~ 10 mK. Electrical measurements demonstrate well-defined quantum dots, tunable tunnel couplings, and coherent spin control, which are essential requirements for the implementation of a large-scale quantum processor.

Journal ArticleDOI
TL;DR: This work proposes and experimentally demonstrate a high numerical aperture (NA) supercritical lens (SCL) array which could achieve a sub-diffraction-limited focal spot lattice in the far field and provides possibilities for the applications in optical nanofabrication, super-resolution imaging, and ultrafine optical manipulation.
Abstract: Microlens arrays (MLAs) are widely used in optical imaging, dense wavelength division multiplexing, optical switching, and microstructure patterning, etc. However, the light modulation capability for both the conventional refractive-type MLA and planar diffractive-type MLA is still staying at the diffraction-limited scale. Here we propose and experimentally demonstrate a high numerical aperture (NA) supercritical lens (SCL) array which could achieve a sub-diffraction-limited focal spot lattice in the far field. The intensity distribution for all the focal spots has good uniformity with the lateral size around ${0.45}\lambda {\rm /NA}$0.45λ/NA (0.75X Airy unit). The elementary unit in the SCL array composes a series of concentric belts with a feature size in micrometer scale. By utilizing an ultrafast ultraviolet lithography technique, a centimeter scale SCL array could be successfully patterned within 10 mins. Our results may provide possibilities for the applications in optical nanofabrication, super-resolution imaging, and ultrafine optical manipulation.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate coherent control of the optical eld emission and electron transport in plasmonic gaps subjected to intense single-cycle laser pulses using time-dependent density functional theory.
Abstract: In this joint experimental and theoretical study we demonstrate coherent control of the optical eld emission and electron transport in plasmonic gaps subjected to intense single-cycle laser pulses. Our results show that a small applied dc bias or an external THz eld allows to modulate and direct the electron photocurrents in the gap of a connected nanoantenna operating as an ultra-fast nanoscale vacuum diode for lightwave electronics. Using Time-Dependent Density Functional Theory calculations we elucidate the main physical mechanisms behind the observed eects and show that an applied dc eld modies the optical eld emission and quiver motion of photoemitted electrons within the gap. The quantum many-body theory reproduces the measured net electron transport in the experimental device which allows us to establish a new paradigm for controlling nanocircuits at Petahertz frequencies. The interaction of intense short laser pulses with matter provides access to the dynamics of electronic excita-tions in a highly nonlinear regime characterised by emission of energetic electron bursts of sub-cycle duration and by generation of high harmonics used to track the evolution of the quantum systems at attosecond time scales [1 4]. For metal surfaces and metal nanoparticles, the coupling of light with collective electronic excitations (plas-mons) allows to engineer enhanced optical elds at the hot spots with characteristic sizes well below the dirac-tion limit [5, 6]. Thus, the optical eld emission regime can be reached for incident eld strengths signicantly smaller than those required for molecular and atomic species in the gas phase [4, 7, 8]. In contrast to electron photoemission via multiphoton absorption, optical eld emission can be seen as an electron tunneling at the metal vacuum interface in a situation where the potential barrier is strongly modied by the instantaneous optical eld. The in-depth studies performed for metallic nanotips and plasmonic nanoparticles revealed that optical eld electron emission can be manipulated at femtosecond time scales via the carrier-envelope phase (CEP) of the driving laser pulse [911]. Using THz elds or an applied bias along with the optical excitation oers additional possibilities [1217] of coherent control. In this context, among the plasmonic nanoobjects that can be applied for light wave electronics [1823], the dimer antenna with a nanoscale gap is very relevant. On the one hand, the coupling between electrons and photons in narrow gaps of dimer antennas leads to light emission originating from inelastic electron tunneling events [2427]. On the other hand, the highly nonlinear optical eld electron emission process [19, 22, 23, 28, 29] as well as optically assisted electron tunneling [3032] allow rectication at optical frequencies and CEP control of the electron transport across the junction [21, 33]. In this Letter, we demonstrate coherent control of the net electric current in a nanocircuit comprising a single bowtie nanoantenna with a 6 nm wide gap as presented in Fig. 1. It follows from our results that, along with the CEP of the incident pulse, the petaherz currents of the optically emitted electrons in the gap of the nanoantenna can be directed and controlled by applying a dc eld two orders of magnitude smaller than the optical eld in the junction. Notably, such a dc bias alone does not trigger any electron ow across the gap, i.e. electron tunneling is impossible for this width of the junction. Our study thus extends the possible application of the static or THz elds beyond the control of the electron (photo)emission from metallic tips [1217] and electron tunneling [3436]. Along with dielectric, semiconductor [3739], graphene-based [18, 40], and tunneling [33] structures, the analogue of the ultrafast rectifying vacuum diode demonstrated here (see also [29]) paves the way towards petaherz electronics [41]. The gold bowtie nanoantenna has been fabricated by electron beam lithography on a silica substrate. The two arms of the antenna are interfaced macroscopically (see Fig. 1b) with a transimpedance amplier which allows for a readout of the optically driven currents via a lock-in scheme. An additional DC bias can be applied across the antenna gap by means of a bias tee. The ultrafast currents are driven by the electric eld transients of single-cycle light pulses of a carrier wavelength of 1250 nm and a duration of 4.2 fs. These pulses are generated by a

Journal ArticleDOI
06 Apr 2020
TL;DR: In this paper, the need for a next-generation technology node in the area of integrated circuits (ICs), improvement in the properties of resist materials, particularly sensitivity (ED), resolution, and good etc.
Abstract: Given the need for a next-generation technology node in the area of integrated circuits (ICs), improvement in the properties of resist materials, particularly sensitivity (ED), resolution, good etc...

Journal ArticleDOI
TL;DR: It is demonstrated that polyvinyl alcohol (PVA), a popular polymeric hydrogel, can function as the negative-tone resist for electron beam lithography (EBL) with the resolution capability as narrow as 50 nm half-pitch.
Abstract: A high-resolution nanopatterning technique is desirable with the present rapid development of hydrogel nanodevices Here, we demonstrate that polyvinyl alcohol (PVA), a popular polymeric hydrogel, can function as the negative-tone resist for electron beam lithography (EBL) with a resolution capability as narrow as 50 nm half-pitch Furthermore, the hydrophilic groups of PVA are stable after EBL exposure, and thus the pattern still shows rapid responsivity to humidity change An aqueous nanopatterning process including dissolution, spin-coating and development is setup, which is friendly for organic device fabrication free of organic solvent This high-resolution nanopatterning technique with PVA is helpful for the design and realization of hydrogel-related nanodevices in the future

Journal ArticleDOI
TL;DR: It is concluded that the excitation of surface plasmon polaritons on the continuous gold thin film is essential for the stable and efficient SERS effects.
Abstract: Surface-enhanced Raman scattering (SERS) spectroscopy is a sensitive sensing technique. It is desirable to have an easy method to produce SERS-active substrate with reproducible and robust signals. We propose a simple method to fabricate SERS-active substrates with high structural homogeneity and signal reproducibility using electron beam (E-beam) lithography without the problematic photoresist (PR) lift-off process. The substrate was fabricated by using E-beam to define nanograting patterns on the photoresist and subsequently coat a layer of gold thin film on top of it. Efficient and stable SERS signals were observed on the substrates. In order to investigate the enhancement mechanism, we compared the signals from this substrate with those with photoresist lifted-off, which are essentially discontinuous gold stripes. While both structures showed significant grating-period-dependent fluorescence enhancement, no SERS signal was observed on the photoresist lifted-off gratings. Only transverse magnetic (TM)-polarized excitation exhibited strong enhancement, which revealed its plasmonic attribution. The fluorescence enhancement showed distinct periodic dependence for the two structures, which is due to the different enhancement mechanism. We demonstrate using this substrate for specific protein binding detection. Similar periodicity dependence was observed. Detailed theoretical and experimental studies were performed to investigate the observed phenomena. We conclude that the excitation of surface plasmon polaritons on the continuous gold thin film is essential for the stable and efficient SERS effects.

Journal ArticleDOI
TL;DR: Dose curves reveal that anisole has a very low contrast of ∼1, with a very weak dependence on primary beam energy in the investigated range of 5-20 keV, and nanoscale patterns on exotic silicon micropillars with high aspect ratio are Fabricated using this e-beam resist.
Abstract: The emerging ice lithography (IL) nanofabrication technology differs from conventional electron-beam lithography by working at cryogenic temperatures and using vapor-deposited organic molecules, such as solid water and alkanes, as e-beam resists. In this paper, we systematically investigate e-beam patterning of frozen anisole and assess its performance as an e-beam resist in IL. Dose curves reveal that anisole has a very low contrast of ∼1, with a very weak dependence on primary beam energy in the investigated range of 5–20 keV. The minimum line width of 60 nm is attainable at 20 keV, limited by stage vibration in our apparatus. Notably, various solid states of anisole have been observed and we can control the deposited anisole from crystalline to amorphous state by decreasing the deposition temperature. The critical temperature for forming an amorphous film is 130 K in the vacuum of a microscope chamber. Smooth patterns with a surface roughness of ∼0.7 nm are achieved in the as-deposited amorphous solid ...

Journal ArticleDOI
TL;DR: Intensity profiles consistent with high quality first- and second-order spatial differentiation of a Gaussian beam are observed in transmission at oblique and normal incidence, respectively.
Abstract: We demonstrate first- and second-order spatial differentiation of an optical beam transverse profile using thin suspended subwavelength gratings. Highly reflective one-dimensional gratings are patterned on suspended 200 nm-thick silicon nitride membranes using Electron Beam Lithography and plasma etching. The optical transmission of these gratings, designed for illumination with either TM or TE polarized light, are experimentally measured under normal and oblique incidence and found to be in excellent agreement with the predictions of an analytical coupled-mode model as well as Rigorous Coupled Wave Analysis numerical simulations. High quality first- and second-order spatial differentiation of a Gaussian beam are observed in transmission at oblique and normal incidence, respectively. Such easy-to-fabricate, ultrathin and loss-free optical components may be attractive for beam shaping and optical information processing and computing.

Journal ArticleDOI
TL;DR: In this article, an electron beam lithography-based structuring of the SU-8 on a glass substrate has been proposed, which is capable of producing high-aspect ratio, surface bound nanostructures with height ranging from 100 nm to 4000 nm and with in-plane resolution below 100 nm.
Abstract: Flat surfaces decorated with micro- and nanostructures are important tools in biomedical research used to control cellular shape, in studies of mechanotransduction, membrane mechanics, cell migration and cellular interactions with nanostructured surfaces. Existing methods to fabricate surface-bound nanostructures are typically limited either by resolution, aspect ratio or throughput. In this work, we explore electron beam lithography based structuring of the epoxy resist SU-8 on glass substrate. We focus on a systematic investigation of the process parameters and determine limits of the fabrication process, both in terms of spatial resolution, structure aspect ratio and fabrication throughput. The described approach is capable of producing high-aspect ratio, surface bound nanostructures with height ranging from 100 nm to 4000 nm and with in-plane resolution below 100 nm directly on a transparent substrate. Fabricated nanostructured surfaces can be integrated with common techniques for biomedical research, such as high numerical aperture optical microscopy. Furthermore, we show how the described approach can be used to make nanostructures with multiple heights on the same surface, something which is not readily achievable using alternative fabrication approaches. Our research paves an alternative way of manufacturing nanostructured surfaces with applications in life science research. [2019-0255]

Journal ArticleDOI
Sun Baoquan1, Zhang Peng1, Teng Zhang1, Shaofei Shangguan1, Wu Sheng1, Xiaohua Ma1 
TL;DR: In this paper, a high electron mobility transistor (HEMT) with an 80nm floating T-shaped gate was fabricated on a SiC substrate which has a 10nm InAlN barrier.

Journal ArticleDOI
19 May 2020
TL;DR: In this paper, the authors demonstrate the straightforward production of plasmonic color via UV-assisted nanoimprint lithography (NIL) with a simple binary mold and demonstrate the ability to control this gap distance in a single print by harnessing the nanofluidic behavior of the polymer resist through strategic mold design.
Abstract: Pigment-free colouration based on plasmonic resonances has recently attracted considerable attention for potential in manufacturing and other applications. For plasmonic colour utilizing the metal-insulator-metal (MIM) configuration, the generated colour is not only dependent on the geometry and transverse dimensions, but also to the size of the vertical gap between the metal nanoparticles and the continuous metal film. The complexity of conventional fabrication methods such as electron beam lithography (EBL), however, limits the capacity to control this critical parameter. Here we demonstrate the straightforward production of plasmonic colour via UV-assisted nanoimprint lithography (NIL) with a simple binary mould and demonstrate the ability to control this gap distance in a single print by harnessing the nanofluidic behaviour of the polymer resist through strategic mould design. We show that this provides a further avenue for controlling the colour reflected by the resulting plasmonic pixels as an adjunct to the conventional approach of tailoring the transverse dimensions of the nanostructures. Our experimental results exhibit wide colour coverage of the CIE 1931 XY colour space through careful control of both the length and periodicity and the resulting vertical gap size of the structure during the nanoimprinting process. Furthermore, to show full control over the vertical dimension, we show that a fixed gap size can be produced by introducing complementary microcavities in the vicinity of the nanostructures on the original mould. This demonstrates a simple method for obtaining an additional degree of freedom in NIL not only for structural colouration but also for other industrial applications such as high-density memory, biosensors and manufacturing.

Journal ArticleDOI
TL;DR: In this article, an epitaxial regrowth by molecular beam epitaxy (MBE) is investigated as a fabrication process for void-semiconductor photonic crystal (PhC) surface emitting lasers (PCSELs).

Journal ArticleDOI
TL;DR: In this paper, an extensive experimental characterization of the post electron beam exposure behavior of poly(methyl methacrylate) (PMMA) 950 K for grayscale structuring with several micrometers in height is presented.

Journal ArticleDOI
TL;DR: In this paper, a varied line spacing grating inscribed by electron beam lithography was proposed and used for an experimental demonstration of angular sensing, where the Y-type fiber input and output paths were connected to a white light source and an optical spectrum analyzer, respectively.
Abstract: A varied-line spacing grating (VLSG) inscribed by electron beam lithography was proposed and used for an experimental demonstration of angular sensing. The designed VLSG central groove density was 655 L/mm, and it had an aluminized surface to reflect light. A Y-type twin-core fiber of 600 μm diameter cores connected with a collimator lens was used to input and collect reflected light from the VLSG. The Y-type fiber input and output paths were connected to a white light source and an optical spectrum analyzer, respectively. The fabricated quasi-continuous VLSG size was 70 × 30 mm, with a fixed 23° input angle. The reflected wavelength changed from 917.3 to 1656.9 nm as the incident light spot moved to different grating positions, with a displacement sensitivity of 14.812 nm/mm. As the input angle was adjusted from 23° to 35° in 1° increments, the spot location on the VLSG changed simultaneously, and the reflection wavelength peak positions changed from 917.3 to 1584.5 nm, with a sensitivity of 57.544 nm/° and linearity of 0.993.


Journal ArticleDOI
TL;DR: In this paper, the state-of-the-art electron beam lithography and reactive ion etching processes were used for high-throughput and large-scale micro-and nanofabrication of electronic and photonic devices.
Abstract: A Boersch phase plate can shift the phase of electrons proportionally to the applied electrical potential, thereby allowing for in situ control of the electron phase shift. A device comprising multiple Boersch phase shifter elements will be able to modulate the wavefront of a coherent electron beam and control electron interference. Recently, fabrication of single and 2 × 2 element Boersch phase shifter devices by focused ion beam milling has been reported. Realization of a large-scale Boersch phase shifter array would demand further developments in the device design and the fabrication strategy, e.g., using lithographic processes. In the present work, we develop a fabrication method utilizing the state-of-the-art electron beam lithography and reactive ion etching processes, a combination that is widely used for high-throughput and large-scale micro- and nanofabrication of electronic and photonic devices. Using the developed method, we fabricated a three-element phase shifter device with a metal–insulator–metal structure with 100-nm-thick ring electrodes and tested its electron transmission characteristics in a transmission electron microscope with a beam energy of 200 keV. We observed voltage-controlled evolution of electron interference, demonstrating the voltage-controlled electron phase shift using the fabricated device with a phase shift of π rad per 1 V. We analyze the experimental results in comparison with a three-dimensional electrostatic simulation. Furthermore, we discuss the possible improvements in terms of beam deflection and crosstalk between phase shifter elements in a five-layer device structure.

Journal ArticleDOI
TL;DR: In this paper, a combination of ultraviolet nanoimprint lithography (UV-NIL) followed by electron-beam lithography with a UV-curable EB resist polymer was used to form micro-scale flow paths and reservoirs.

Journal ArticleDOI
TL;DR: In this paper, the resist thickness dependence of the pattern formation of a chemically amplified electron beam resist was investigated and it was found that the dissolution kinetics depended on the initial resist film thickness.
Abstract: The transistors have been miniaturized to increase their integration. With the miniaturization, the thickness of resist has been decreased to prevent them from collapsing. In this study, the resist thickness dependence of the pattern formation of a chemically amplified electron beam resist was investigated. The line width roughness (LWR) of resist patterns increased with the decrease of initial resist film thickness. It was found that the dissolution kinetics depended on the initial resist film thickness. The escape of low-energy electrons to the substrates is considered to have resulted in the difference in the dissolution kinetics and LWR