scispace - formally typeset
Search or ask a question

Showing papers on "Chemical vapor deposition published in 1996"


Journal ArticleDOI
TL;DR: Deposited conductors made using this technique offer a potential route for the fabrication of long lengths of high‐Jc wire capable of carrying high currents in high magnetic fields and at elevated temperatures.
Abstract: A method to obtain long lengths of flexible, biaxially oriented substrates with smooth, chemically compatible surfaces for epitaxial growth of high‐temperature superconductors is reported. The technique uses well established, industrially scalable, thermomechanical processes to impart a strong biaxial texture to a base metal. This is followed by vapor deposition of epitaxial buffer layers (metal and/or ceramic) to yield chemically compatible surfaces. Epitaxial YBa2Cu3Ox films grown on such substrates have critical current densities exceeding 105 A/cm2 at 77 K in zero field and have field dependencies similar to epitaxial films on single crystal ceramic substrates. Deposited conductors made using this technique offer a potential route for the fabrication of long lengths of high‐Jc wire capable of carrying high currents in high magnetic fields and at elevated temperatures.

902 citations


Journal Article
01 Jan 1996-Scopus
TL;DR: In this article, a method to obtain long lengths of flexible, biaxially oriented substrates with smooth, chemically compatible surfaces for epitaxial growth of high-temperature superconductors is reported.
Abstract: A method to obtain long lengths of flexible, biaxially oriented substrates with smooth, chemically compatible surfaces for epitaxial growth of high‐temperature superconductors is reported. The technique uses well established, industrially scalable, thermomechanical processes to impart a strong biaxial texture to a base metal. This is followed by vapor deposition of epitaxial buffer layers (metal and/or ceramic) to yield chemically compatible surfaces. Epitaxial YBa2Cu3Ox films grown on such substrates have critical current densities exceeding 105 A/cm2 at 77 K in zero field and have field dependencies similar to epitaxial films on single crystal ceramic substrates. Deposited conductors made using this technique offer a potential route for the fabrication of long lengths of high‐Jc wire capable of carrying high currents in high magnetic fields and at elevated temperatures.

854 citations


Journal ArticleDOI
09 May 1996-Nature
TL;DR: In this article, high concentrations of nitrogen can be incorporated into diamond films by using urea as the gaseous nitrogen source, and such heavily doped films shown very low-threshold electron emission, which augurs well for cold-cathode technology.
Abstract: BECAUSE diamond surfaces terminated with hydrogen have a negative electron affinity1–4 (the conduction band minimum lies below the vacuum level), they are expected to emit electrons spontaneously. This has led to attempts to develop 'cold cathodes'—miniaturized vacuum diodes that might have applications in microelectronics and flat-panel displays. In previous studies of electron emission from diamond grown by chemical vapour deposition5–9 (CVD), the threshold voltages for emission were more than an order of magnitude too large for use in battery-driven cold cathodes. Although low-threshold emission from caesium-coated, nitrogen-doped high-pressure synthetic diamond was reported recently10, ultimately diamond thin films grown by chemical vapour deposition (CVD) look to be the most promising material for cold-cathode applications. But to obtain low-threshold emission, it is necessary to introduce high concentrations of donor dopants such as nitrogen—something that is difficult for CVD diamond. Here we report that high concentrations of nitrogen can be incorporated into diamond films by using urea as the gaseous nitrogen source, and that such heavily doped films shown very-low-threshold electron emission, which augurs well for cold-cathode technology.

513 citations


Journal ArticleDOI
TL;DR: In this article, the activation kinetics of acceptors were investigated for heteroepitaxial layers of GaN, doped with Mg. After growth, the samples were exposed to isochronal rapid thermal anneals in the temperature range from 500 to 775°C.
Abstract: The activation kinetics of acceptors was investigated for heteroepitaxial layers of GaN, doped with Mg. After growth, the samples were exposed to isochronal rapid thermal anneals in the temperature range from 500 to 775 °C. The samples were studied by variable temperature Hall effect measurements and photoluminescence (PL) spectroscopy in the as‐grown condition and after each temperature step. The thermal treatment reduced the resistivity by six orders of magnitude and the p‐type conductivity was found to be dominated by an acceptor with an activation energy of ∼170 meV. This acceptor is attributed to Mg atoms substituting for Ga in the GaN lattice and the activation process is consistent with dissociation of electrically inactive Mg–H complexes. It is shown that the appearance of a blue emission band in the PL spectrum of Mg‐doped GaN does not directly correlate with the increase in p‐type conductivity.

436 citations


Journal ArticleDOI
TL;DR: In this paper, the defect structures, including threading dislocations, partial dislocation bounding stacking faults and inversion domains, were investigated by transmission electron microscopy for GaN/Al2O3 epilayers grown by metalorganic chemical vapor deposition using a two-step process.
Abstract: Defect structures were investigated by transmission electron microscopy for GaN/Al2O3 (0001) epilayers grown by metal‐organic chemical vapor deposition using a two‐step process. The defect structures, including threading dislocations, partial dislocation bounding stacking faults, and inversion domains, were analyzed by diffraction contrast, high‐resolution imaging, and convergent beam diffraction. GaN film growth was initiated at 600 °C with a nominal 20 nm nucleation layer. This was followed by high‐temperature growth at 1080 °C. The near‐interfacial region of the films consists of a mixture of cubic and hexagonal GaN, which is characterized by a high density of stacking faults bounded by Shockley and Frank partial dislocations. The near‐interfacial region shows a high density of inversion domains. Above ∼0.5 μm thickness, the film consists of isolated threading dislocations of either pure edge, mixed, or pure screw character with a total density of ∼7×108 cm−2. The threading dislocation reduction in the...

402 citations


Journal ArticleDOI
TL;DR: The spatial dependence of the luminescence intensities at the band edge (364 nm) and at the defect-band (centered at 560 nm) regions for epitaxial GaN films have been studied using cathodoluminescence microscopy at room temperature.
Abstract: The spatial dependence of the luminescence intensities at the band edge (364 nm) and at the ‘‘yellow’’ defect‐band (centered at 560 nm) regions for epitaxial GaN films have been studied using cathodoluminescence microscopy at room temperature. The films were grown by metalorganic chemical vapor deposition on (0001) sapphire substrates and were not intentionally doped. Significant nonuniformities in the band‐to‐band and in the yellow band emissions were observed. Yellow luminescence in small crystallites appears to originate from extended defects inside the grains and at low‐angle grain boundaries. The size of band‐to‐band emission sites correlates with low‐angle grain sizes observed by transmission electron microscopy.

362 citations


Patent
12 Jul 1996
TL;DR: In this article, the authors proposed an approach to reduce thermal deposition of conductive material on peripheral portions of the pedestal supporting a wafer and in a pumping channel exhausting the chamber.
Abstract: A substrate processing chamber, particularly a chemical vapor deposition (CVD) chamber used both for thermal deposition of a conductive material and a subsequently performed plasma process. The invention reduces thermal deposition of the conductive material on peripheral portions of the pedestal supporting a wafer and in a pumping channel exhausting the chamber. A peripheral ring placed on the pedestal, preferably also used to center the wafer, is thermally isolated from the pedestal so that its temperature is kept substantially lower than that of the wafer. Despite its thermal isolation, the peripheral ring is electrically connected to the pedestal to prevent arcing. The pumping channel is lined with various elements, some of which are electrically floating and which are designed so that conductive material deposited on these elements do not deleteriously affect a plasma generated for processing the wafer.

341 citations


Journal ArticleDOI
TL;DR: In this paper, the surface passivation of low resistivity singlecrystalline p-silicon wafers is reported using silicon nitride fabricated at low temperature (375 °C) in a remote plasmaenhanced chemical vapor deposition system.
Abstract: Outstanding surface passivation of low‐resistivity single‐crystalline p‐silicon is reported using silicon nitride fabricated at low temperature (375 °C) in a remote plasma‐enhanced chemical vapor deposition system. The effective surface recombination velocity Seff is determined as a function of the bulk injection level from light‐biased photoconductance decay measurements. On polished as well as chemically textured silicon wafers we find that our remote plasma silicon nitride provides better surface passivation than the best high‐temperature thermal oxides ever reported. For polished 1.5 and 0.7 Ω cm p‐silicon wafers, record low Seff values of 4 and 20 cm/s, respectively, are presented.

304 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigated the effect of the duration of exposing the sapphire substrate to ammonia prior to the GaN growth initiation on the dislocation structure of GaN films.
Abstract: The properties of 1.2 μm thick GaN films were found to be significantly influenced by the duration of exposing the sapphire substrate to ammonia prior to the GaN growth initiation. The different nitridation schemes of sapphire strongly affect the dislocation structure of GaN films resulting in a decrease of the dislocation density from 2×1010 to 4×108 cm−2 for shorter NH3 preflow times. Room‐ and low‐temperature electron transport characteristics of these films are specifically affected by the dislocation structure. A 300 K electron mobility as high as 592 cm2/V s was obtained for a short ammonia preflow whereas a long nitridation caused the mobility to drop to 149 cm2/V s. Additionally, the photoluminescence quality deteriorates for samples with a long sapphire nitridation time.

280 citations


Journal ArticleDOI
TL;DR: In this paper, the thermal stability of thin films of Group III nitrides prepared by low-pressure chemical vapor deposition from organometallic precursors was investigated by elastic recoil detection analysis (ERDA).
Abstract: We present results on the thermal stability as well as the thermally induced hydrogen, hydrocarbon, and nitrogen–hydrogen effusion from thin films of Group III nitrides prepared by low‐pressure chemical vapor deposition from organometallic precursors. We have deposited amorphous, polycrystalline, and epitaxial InN, GaN, and AIN films on (0001) Al2O3 substrates using the chemical reaction of azido[bis(3‐dimethylamino)propyl]indium, triethylgallium, and tritertiarybutylaluminium with ammonia. The substrate temperature was varied between 400 °C and 1100 °C. The elemental composition, in particular its dependence on the growth temperature, was investigated by elastic recoil detection analysis (ERDA). The influence of growth rate and crystallite size on the concentration of surface adsorbed hydrocarbons and carbon oxides is determined by a combination of ERDA and thermal desorption measurements. In addition, the stability of and the nitrogen flux from the InN, GaN, and AIN surfaces was determined by x‐ray diffraction and thermal decomposition experiments.

276 citations


Journal ArticleDOI
TL;DR: In this paper, a transparent ZnO film with optical bandgap energy of 3.3 eV was obtained by electrodeposition from an aqueous, 0.1 mol/liter zinc nitrate electrolyte.
Abstract: Zinc oxide is of considerable interest to the optical and electronic industries, because of its electrical, optical, and acoustic characteristics. ZnO films can be prepared by several techniques, such as radio frequency (RF) magnetron sputtering, chemical vapor deposition, and molecular beam epitaxy. Preparation of oxide films by electrodeposition from aqueous solutions has several potential advantages over the other techniques. However, the formation of oxide films through electrochemical reactions have been demonstrated only on thallic oxide by Switzer and zirconium oxide by Gal-Or. In this work, the authors have prepared transparent ZnO films with optical bandgap energy of 3.3 eV by electrodeposition from an aqueous, 0.1 mol/liter zinc nitrate electrolyte. The deposition technology is still being developed. This paper reports the effects of the electrolyte concentration on the electrodeposition and properties of the ZnO films.

Journal ArticleDOI
TL;DR: In this paper, a two-dimensional growth mode (step flow) of GaN quantum dots on AlxGa1−xN (x=0-0.2) surfaces that is energetically commenced under the conventional growth conditions was intentionally modified into a three-dimensional mode by using a "surfactant" to inhibit the GaN film from wetting the AlGaN surface.
Abstract: Nanoscale GaN quantum dots were fabricated on AlxGa1−xN layer surfaces via metalorganic chemical vapor deposition. In order to achieve a self‐assembling dot structure, a two‐dimensional growth mode (step flow) of GaN films on AlxGa1−xN (x=0–0.2) surfaces that is energetically commenced under the conventional growth conditions was intentionally modified into a three‐dimensional mode by using a ‘‘surfactant.’’ The surfactant is believed to inhibit the GaN film from wetting the AlGaN surface due to the change in surface free energy. The resulting morphological structures of GaN dots were found to be sensitive to the doping rate of tetraethyl silane used as a surfactant, the Al content (x) of the AlxGa1−xN layer, and the growth temperature. A very intense photoluminescence emission was observed from the GaN dots embedded in the AlGaN layers.

Journal ArticleDOI
TL;DR: The structure and morphology of low growth temperature GaN nucleation layers have been studied using AFM, reflection high energy electron diffraction (RHEED), and transmission electron microscopy (TEM).
Abstract: The structure and morphology of low growth temperature GaN nucleation layers have been studied using atomic force microscopy (AFM), reflection high energy electron diffraction (RHEED), and transmission electron microscopy (TEM). The nucleation layers were grown at 600 °C by atmospheric pressure metalorganic chemical vapor deposition (MOCVD) on c‐plane sapphire. The layers consist of predominantly cubic GaN (c‐GaN) with a high density of stacking faults and twins parallel to the film/substrate interface. The average grain size increases with increasing layer thickness and during the transition from low temperature (600 °C) to the high temperatures (1080 °C) necessary for the growth of device quality GaN. Upon heating to 1080 °C the nucleation layer partially converts to hexagonal GaN (h‐GaN) while retaining a high stacking fault density. The mixed cubic‐hexagonal character of the nucleation layer region is sustained after subsequent high‐temperature GaN growth.

Patent
Stuardo Robles1
07 Nov 1996
TL;DR: In this paper, a method and apparatus for forming a multilayer insulating film on a substrate involves forming a number of carbon-based layers on the substrate, each interlaid with layers of organic material, such as parylene.
Abstract: A method and apparatus for forming a multilayer insulating film on a substrate involves forming a number of carbon-based layers on the substrate, each interlaid with layers of organic material, such as parylene. Preferably, the carbon-based layers are formed using a high-density plasma chemical vapor deposition system, although other CVD systems may also be used. The result is a multilayer insulating film having a low overall dielectric constant, excellent gap-fill characteristics, and desirable thermal properties.

Journal ArticleDOI
TL;DR: In this paper, the authors measured the intrinsic force per unit width (F/w) in the film during and after deposition from the change in substrate curvature measured in situ by a laser scanning technique.
Abstract: Copper and silver single layer and multilayered thin films were thermal vapor deposited onto cantilevered substrates [Si(100) with native oxide] near room temperature in ultrahigh vacuum. The total force per unit width (F/w) in the film during and after deposition was determined from the change in substrate curvature measured in situ by a laser scanning technique. The intrinsic component of F/w was obtained by subtraction of the thermal component, which was obtained by measuring the product of the biaxial modulus of the film (Yf) and the difference in coefficients of thermal expansion of the substrate and the film (Δαs−f) while each sample was still in the ultra‐high vacuum deposition chamber. For all samples, the measured value of YfΔαs−f was substantially lower than the calculated value based on the {111} biaxial modulus and the coefficients of thermal expansion of the bulk materials, even though x‐ray diffraction indicated strong {111} film texture. During deposition, a general trend in F/w was found r...

Journal ArticleDOI
TL;DR: In this paper, the infrared reflection-absorption spectra showed that the structural changes were attributable to the Co(III)/Co(II) redox process in a hydrated Co(II),Co(III),sub 2}O{sub 4}. Coulometry indicated that the coloration efficiency was 21.5 cm{sup 2}/C.
Abstract: Electrochromic cobalt oxide thin films were prepared by chemical vapor deposition. The source material was cobalt(II) acetylacetonate. Tricobalto tetraoxide (Co{sub 3}O{sub 4}) thin films were obtained at a substrate temperature 250 C. Oxidation and reduction of the films in a 0.1 M KOH solution resulted in changes in optical absorption. The transmittance of the reduced film was higher and that of the oxidized film was lower than that of the as-deposited Co{sub 3}O{sub 4} films. The infrared reflection-absorption spectra showed that the structural changes were attributable to the Co(III)/Co(II) redox process in a hydrated Co(II)Co(III){sub 2}O{sub 4}. Coulometry indicated that the coloration efficiency was 21.5 cm{sup 2}/C.

Patent
05 Feb 1996
TL;DR: In this paper, a method of forming a fluorinated silicon oxide dielectric layer by plasma chemical vapor deposition is described, which includes the steps of creating a plasma in a plasma chamber and introducing a silicon-containing gas, a fluorine-containing gaseous gas, oxygen and an inert gas such that the gases are excited by the plasma and react proximate a substrate to form a polysilicon oxide layer on the surface of the substrate.
Abstract: A method of forming a fluorinated silicon oxide dielectric layer (33) by plasma chemical vapor deposition. The method includes the steps of creating a plasma in a plasma chamber (10) and introducing a silicon-containing gas, a fluorine-containing gas, oxygen and an inert gas such that the gases are excited by the plasma and react proximate a substrate (16) to form a fluorinated silicon oxide layer on the surface of the substrate (16). The fluorinated layer so formed has a dielectric constant which is less than that of a silicon oxide layer.

Journal ArticleDOI
TL;DR: In this paper, a review of the preparation, structure and properties of self-assembled monolayers (SAMs), techniques for patterning SAMs, including microcontact printing (mCP), UV-photolithography, and e-beam writing, is presented.

Journal ArticleDOI
TL;DR: In this paper, the influence of various thicknesses of AlN buffer layers on the strain in thin GaN films was studied by x-ray diffraction and Raman and photoluminescence spectroscopy.
Abstract: The influence of biaxial stress on the optical properties of thin GaN films is studied by x‐ray diffraction and Raman and photoluminescence spectroscopy. The stress is caused by differences in the thermal expansion coefficient and lattice mismatch between the film and c‐plane sapphire substrates. In particular, the influence of various thicknesses of AlN buffer layers on the strain in GaN films is studied. GaN/AlN films were deposited by low pressure metal organic chemical vapor deposition using triethylgallium and tritertbutylaluminum and ammonia. We observe a pronounced reduction of strain in the GaN films with increasing buffer thickness: An AlN buffer layer thicker than 200 nm eliminates the stress completely. Estimates of the linear coefficient for the near band gap luminescence shift due to biaxial compressive strain yield a value of 24 meV/GPa.

Patent
14 Feb 1996
TL;DR: In this article, a method of and apparatus for depositing a silicon oxide layer onto a wafer or substrate is provided, which includes introducing into a processing chamber a process gas including silicon, oxygen, boron, phosphorus and germanium.
Abstract: A method of and apparatus for depositing a silicon oxide layer onto a wafer or substrate is provided. The present method includes introducing into a processing chamber a process gas including silicon, oxygen, boron, phosphorus and germanium to form a germanium doped BPSG oxide layer having a reflow temperature of less than 800° C. Preferred embodiments of the present method are performed in either a subatmospheric CVD or a plasma enhanced CVD processing apparatus.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated carrier transport in a highconductivity layer formed in the surface region of asdeposited homoepitaxial diamond films prepared by chemical vapor deposition.
Abstract: Using Hall effect measurements, we have investigated carrier transport in a high‐conductivity layer formed in the surface region of as‐deposited homoepitaxial diamond films prepared by chemical vapor deposition. The results of undoped and B‐doped films were compared to those obtained from an oxidized B‐doped film. It is found that (1) the carrier (hole) density per unit area of both as‐deposited films is 4–5 orders of magnitude larger than that of the oxidized B‐doped film at 297 K and is nearly constant in the temperature range of 150–400 K, while that of the oxidized B‐doped film shows a strong temperature dependence with an activation energy of 0.38 eV, and (2) the Hall mobility of both as‐deposited films is 1–2 orders of magnitude smaller than that of the oxidized B‐doped film at 297 K and increases with increasing temperature, while that of the oxidized B‐doped film decreases. These results and the secondary ion mass spectroscopy analysis suggest that the high‐conductivity layer formed in the as‐depo...

Journal ArticleDOI
TL;DR: Schottky barriers of Ti, Cr, Au, Pd, Ni and Pt on n-type GaN epitaxial layers grown by low-pressure metal-organic chemical vapour deposition on sapphire have been fabricated and characterized as mentioned in this paper.
Abstract: Schottky barriers of Ti, Cr, Au, Pd, Ni and Pt on n-type GaN epitaxial layers grown by low-pressure metal-organic chemical vapour deposition on sapphire have been fabricated and characterized. Measurements were carried out using current - voltage (I - V), current - voltage - temperature (I -V - T) and capacitance - voltage (C - V) techniques. A modified Norde plot was used as one of the analysis tools for the I - V - T measurements. The barrier heights, ideality factors and effective Richardson constants are presented. Barrier heights of 0.88, 0.92, 0.99 and 1.08 eV for Au, Pd, Ni and Pt respectively were obtained from the modified Norde plot. Contacts of Ti and Cr exhibited only slightly rectifying characteristics. These results show that the barrier height on n-GaN increases monotonically, but does not scale proportionately, with increasing metal workfunction.

Journal ArticleDOI
TL;DR: Ferroelectric layered-oxides were prepared on Pt coated Si wafers and single-crystal sapphire by metalorganic chemical vapor deposition (MOCVD) as mentioned in this paper.
Abstract: Ferroelectric layered‐oxides SrBi2Ta2O9 thin films were prepared on Pt coated Si wafers and single‐crystal sapphire by metalorganic chemical vapor deposition (MOCVD). The films were specular and crack‐free and showed complete crystallization at temperatures between 650 and 700 °C. Good ferroelectric properties were obtained for a 200 nm thick film with Pt electrodes: 2Pr and Ec were about 8.3 μC/cm2 and 60 kV/cm, respectively. The leakage currents were as low as 8×10−9 A/cm2 at 150 kV/cm. The films also showed fatigue‐free characteristics: no fatigue was observed up to 1.4×1010 switching cycles. These high quality MOCVD films make high‐intensity (≳1 Mbit) nonvolatile memory devices possible.

Patent
25 Nov 1996
TL;DR: In this paper, a capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectrics-conductor interface layers in between, and the local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage.
Abstract: A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO 2 ) and alumina (Al 2 O 3 ). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO 2 ) and alumina (Al 2 O 3 ) in alternating layers to form a nano-laminate.

Journal ArticleDOI
TL;DR: In this article, the bonding states of BC2N thin films prepared by chemical vapor deposition, one of the new layered BCN compounds, were investigated by using x-ray photoelectron spectroscopy to measure chemical shifts of 1s electrons, being compared with those in graphite and hexagonal (h) BN.
Abstract: We have studied the bonding states of BC2N thin films prepared by chemical vapor deposition, one of the new layered BCN compounds. The chemical bonding states of boron, carbon, and nitrogen atoms in the BC2N thin films were investigated by using x‐ray photoelectron spectroscopy to measure chemical shifts of 1s electrons, being compared with those in graphite and hexagonal (h‐) BN. The results exhibited that the thin films had significant B–C and C–N bonds and were clearly different from graphite and h‐BN, indicating that an atomic‐level hybrid of the three elements was synthesized.

Journal ArticleDOI
TL;DR: In this paper, a polycrystalline diamond film was grown by microwave assisted chemical vapor deposition from methane/hydrogen gas mixtures, and the addition of small amounts of nitrogen with concentrations below 50 ppm to the process gas was found to drastically increase the deposition rate depending on the microwave power.
Abstract: Polycrystalline diamond films have been grown by microwave assisted chemical vapor deposition from methane/hydrogen gas mixtures. The addition of small amounts of nitrogen with concentrations below 50 ppm to the process gas was found to drastically increase the deposition rate depending on the microwave power. At 4.2 kW microwave power a five times higher growth rate compared to nitrogen‐free depositions was achieved. The optical transmission and thermal conductivity have been measured. The incorporation of small amounts of nitrogen does not degrade the infrared transmission of the samples; the thermal conductivity measured at room temperature decreased only slightly from 20.5 to 18 W/(cm K).

Journal ArticleDOI
TL;DR: Two surface treatments, PDT and PACVD, investigated in order to improve the wear resistance and the hardness of Ti-6Al-4V and Ti-5Al-2.5Fe showed considerable improvement in surface hardness, especially after the two nitriding processes.

Journal ArticleDOI
TL;DR: In this paper, the authors discuss the application of LPCVD and PECVD in microstructures and their application in functional and ion sensitive films, including passivation films.
Abstract: After discussion of the basic aspects of CVD and its reaction kinetics LPCVD and PECVD will evolve as techniques commonly used at high temperature and lower temperature , respectively. Films deposited by these two techniques differ in several aspects, i.e., thickness, uniformity, purity, density, electrical properties, adhesion, step coverage, etc. Reactor designs are discussed in brief for optimization of the process parameters to yield optimized film properties. Then each of the major film materials such as polysilicon, SiN, , , SiC and some exotics such as diamond films are discussed with respect to their application in microstructures and their film properties in dependence on the deposition technique and follow-on processing, e.g., internal stresses due to imperfection in structure and composition or clamping, film density, pinhole density, and etchability. The discussion then moves to the application of LPCVD and PECVD in microstructures. A few typical examples will be presented for functional layers: films for membranes, cantilevers, etc in mono- and heterostructures, or ion sensitive films including passivation films as used in many sensors (e.g., microphones) and actuators (e.g., micromotors), especially such as fabricated by surface micromachining. Some room is also given to SiC, a new micromechanical material. A summary and weighting of the two CVD techniques is given.

Journal ArticleDOI
TL;DR: In this article, a broad waveguide design was proposed for high cw output power by increasing the optical confinement layer total thickness from 0.2 to 1.0 μm and doubling the transverse spot size to 0.6 μm.
Abstract: Al‐free 980 nm InGaAs/InGaAsP/InGaP laser structures grown by low‐pressure metalorganic chemical vapor deposition (LP‐MOCVD) have been optimized for high cw output power by incorporating a broad waveguide design. Increasing the optical‐confinement layer total thickness from 0.2 to 1.0 μm decreases the internal loss fivefold to 1.0–1.5 cm−1, and doubles the transverse spot size to 0.6 μm (full width half‐maximum). Consequently, 4‐mm long, 100‐μm‐aperture devices emit up to 8.1 W front‐facet cw power. cw power conversion efficiencies as high as 59% are obtained from 0.5‐mm long devices. Catastrophic‐optical‐mirror‐damage (COMD) power‐density levels reach 15.0–15.5 MW/cm2, and are found similar to those for InGaAs/AlGaAs facet‐coated diode lasers.

Patent
23 Dec 1996
TL;DR: In this paper, a graded gap fill (GDF) is used to separate the first layer from the second layer in a high density plasma processing chamber, and a fill layer is then placed above the protection layer.
Abstract: A graded gap fill process in which, in a high density plasma processing chamber, an insulating layer is deposited on a substrate without causing plasma charge-related damage to the substrate. The insulating layer is disposed above a first layer having trenches formed therein and below a subsequently deposited second layer. A protection layer is first deposited above the first layer using a first set of deposition parameters. This protection layer coats a surface of the first layer in a substantially conformal manner without forming voids in the trenches. A fill layer is then deposited above the protection layer using a second set of deposition parameters. The first set of deposition parameters is selected to reduce plasma charge-related damage relative to the second set of deposition parameters. The combination of the protection layer and the fill layer sufficiently electrically isolates the first layer from the second layer.