scispace - formally typeset
Search or ask a question

Showing papers on "Plasma-enhanced chemical vapor deposition published in 2005"


Journal ArticleDOI
TL;DR: In this article, the authors explored the possibility of the existence of a common scale, which can be used to gauge bond strength between various surfaces and found that the changes in wettability of surfaces owing to various levels of plasma exposure can be a useful parameter to gauge the bond strength.
Abstract: An issue in microfabrication of the fluidic channels in glass/poly (dimethyl siloxane) (PDMS) is the absence of a well-defined study of the bonding strength between the surfaces making up these channels. Although most of the research papers mention the use of oxygen plasma for developing chemical (siloxane) bonds between the participating surfaces, yet they only define a certain set of parameters, tailored to a specific setup. An important requirement of all the microfluidics/biosensors industry is the development of a general regime, which defines a systematic method of gauging the bond strength between the participating surfaces in advance by correlation to a common parameter. This enhances the reliability of the devices and also gives a structured approach to its future large-scale manufacturing. In this paper, we explore the possibility of the existence of a common scale, which can be used to gauge bond strength between various surfaces. We find that the changes in wettability of surfaces owing to various levels of plasma exposure can be a useful parameter to gauge the bond strength. We obtained a good correlation between contact angle of deionized water (a direct measure of wettability) on the PDMS and glass surfaces based on various dosages or oxygen plasma treatment. The exposure was done first in an inductively coupled high-density (ICP) plasma system and then in plasma enhanced chemical vapor deposition (PECVD) system. This was followed by the measurement of bond strength by use or the standardized blister test.

825 citations


Journal ArticleDOI
TL;DR: The temperature dependence of the growth rate of carbon nanofibers by plasma-enhanced chemical vapor deposition with Ni, Co, and Fe catalysts is presented and a low activation energy of 0.4 eV is extrapolated, much lower than for thermal deposition.
Abstract: We present the temperature dependence of the growth rate of carbon nanofibers by plasma-enhanced chemical vapor deposition with Ni, Co, and Fe catalysts. We extrapolate a common low activation energy of 0.23-0.4 eV, much lower than for thermal deposition. The carbon diffusion on the catalyst surface and the stability of the precursor molecules, C2H2 or CH4, are investigated by ab initio plane wave density functional calculations. We find a low activation energy of 0.4 eV for carbon surface diffusion on Ni and Co (111) planes, much lower than for bulk diffusion. The energy barrier for C2H2 and CH4 dissociation is at least 1.3 eV and 0.9 eV, respectively, on Ni(111) planes or step edges. Hence, the rate-limiting step for plasma-enhanced growth is carbon diffusion on the catalyst surface, while an extra barrier is present for thermal growth due to gas decomposition.

405 citations


Journal ArticleDOI
TL;DR: In this paper, the Raman spectra of carbon nanowalls (CNWs) grown using dc plasma-enhanced chemical vapor deposition were analyzed, and it was shown that CNWs are composed of small crystallites with a high degree of graphitization.
Abstract: Raman spectra of carbon nanowalls (CNWs) grown using dc plasma-enhanced chemical vapor deposition were analyzed. The Raman spectra of CNWs exhibited G and D bands at ∼1580 and ∼1350cm−1, respectively. It is found that the bandwidth of the G band is relatively narrow, even when the peak intensity ratio of D band to G band is significantly high. This spectral feature of CNWs is distinguished from those of typical graphitelike carbons reported so far. From the comparison of these spectral features, it is shown that CNWs are composed of small crystallites with a high degree of graphitization.

238 citations


Journal ArticleDOI
TL;DR: In this article, hydrogenated nanocrystalline silicon (nc-Si:H) films were deposited by using 13.56MHz plasma-enhanced chemical vapor deposition at 260°C by means of a silane (SiH4) plasma heavily diluted with hydrogen (H2).
Abstract: Hydrogenated nanocrystalline silicon (nc-Si:H) films were deposited by using 13.56MHz plasma-enhanced chemical vapor deposition at 260°C by means of a silane (SiH4) plasma heavily diluted with hydrogen (H2). The high-quality nc-Si:H film showed an oxygen concentration (CO) of ∼1.5×1017at.∕cm3 and a dark conductivity (σd) of ∼10−6S∕cm, while the Raman crystalline volume fraction (Xc) was over 80%. Top-gate nc-Si:H thin-film transistors employing an optimized ∼100nm nc-Si:H channel layer exhibited a field-effect mobility (μFE) of ∼150cm2∕Vs, a threshold voltage (VT) of ∼2V, a subthreshold slope (S) of ∼0.25V∕dec, and an ON∕OFF current ratio of ∼106.

194 citations


Journal ArticleDOI
TL;DR: In this paper, a novel method consisting of two dry process techniques, that is, nanotexturing by an oxygen plasma treatment and subsequent hydrophobic coating by means of low temperature chemical vapor deposition or plasma-enhanced chemical vapor deblurring, was employed to form ultra water-repellent polymer sheets.

185 citations


Journal ArticleDOI
TL;DR: In this article, the mechanisms for silicon defect and nanocrystal related white and near-infrared electroluminescences (ELs) of Si-rich SiO2 films synthesized by Si-ion implantation and plasmaenhanced chemical-vapor deposition (PECVD) are investigated.
Abstract: The mechanisms for silicon (Si) defect and nanocrystal related white and near-infrared electroluminescences (ELs) of Si-rich SiO2 films synthesized by Si-ion implantation and plasma-enhanced chemical-vapor deposition (PECVD) are investigated. The strong photoluminescence (PL) of Si-ion-implanted SiO2 (SiO2:Si+) at 415–455 nm contributed by weak-oxygen bond and neutral oxygen vacancy defects is observed after 1100 °C annealing for 180 min. The white-light EL of a reverse-biased SiO2:Si+ metal-oxide-semiconductor (MOS) diode with a turn-on voltage of 3.3 V originates from the minority-carrier tunneling and recombination in the defect states of SiO2:Si+, which exhibits maximum EL power of 120 nW at bias of 15 V with a power–current slope of 2.2μW∕A. The precipitation of nanocrystallite silicon (nc-Si) in SiO2:Si+ is less pronounced due to relatively small excess Si density. In contrast, the 4-nm nc-Si contributed to PL and EL at about 760 nm is precipitated in the PECVD-grown Si-rich SiOx film after annealin...

184 citations


Patent
17 Jun 2005
TL;DR: In this paper, a method for depositing silicon-containing material film (434) on a substrate (122) includes flowing a nitrogen and carbon containing chemical into a deposition chamber (100), flowing a silicon containing source chemical having silicon-nitrogen bonds into the processing chamber ( 100), and heating the substrate ( 122) disposed in the chamber to a temperature less than about 550 degrees Celsius.
Abstract: Embodiments of the invention generally provide a method for depositing silicon-containing films. In one embodiment, a method for depositing silicon-containing material film (434) on a substrate (122) includes flowing a nitrogen and carbon containing chemical into a deposition chamber (100), flowing a silicon-containing source chemical having silicon-nitrogen bonds into the processing chamber (100), and heating the substrate (122) disposed in the chamber to a temperature less than about 550 degrees Celsius. In another embodiment, the silicon containing chemical is trisilylamine and the nitrogen and carbon containing chemical is (CH3)3-N.

180 citations


Patent
16 Nov 2005
TL;DR: When dielectric layer is deposited on workpiece, where pattern is configured and isolation layer is formed on, through physical deposition method for example, new argon gas is not introduced into processing chamber, in order to reduce the reduction of thickness of formed Dielectric Layer as mentioned in this paper.
Abstract: When dielectric layer is deposited on workpiece, where pattern is configured and isolation layer is formed on, through physical deposition method for example, new argon gas is not introduced into processing chamber, in order to reduce the reduction of thickness of formed dielectric layer. Or, when silicon dioxide layer is formed on workpiece, where pattern is configured, through method of photo enhanced chemical vapor deposition (PECVD), new argon gas is not introduced into processing chamber, in order to form even silicon dioxide layer at any position.

179 citations


Journal ArticleDOI
18 Jul 2005
TL;DR: The results demonstrate that with appropriate process optimization, the large area thin film Si technology suits well the fabrication of electronic devices on low-cost plastic substrates.
Abstract: This paper addresses the low-temperature deposition processes and electronic properties of silicon based thin film semiconductors and dielectrics to enable the fabrication of mechanically flexible electronic devices on plastic substrates. Device quality amorphous hydrogenated silicon (a-Si:H), nanocrystalline silicon (nc-Si), and amorphous silicon nitride (a-SiN/sub x/) films and thin film transistors (TFTs) were made using existing industrial plasma deposition equipment at the process temperatures as low as 75/spl deg/C and 120/spl deg/C. The a-Si:H TFTs fabricated at 120/spl deg/C demonstrate performance similar to their high-temperature counterparts, including the field effect mobility (/spl mu//sub FE/) of 0.8 cm/sup 2/V/sup -1/s/sup -1/, the threshold voltage (V/sub T/) of 4.5 V, and the subthreshold slope of 0.5 V/dec, and can be used in active matrix (AM) displays including organic light emitting diode (OLED) displays. The a-Si:H TFTs fabricated at 75/spl deg/C exhibit /spl mu//sub FE/ of 0.6 cm/sup 2/V/sup -1/s/sup -1/, and V/sub T/ of 4 V. It is shown that further improvement in TFT performance can be achieved by using n/sup +/ nc-Si contact layers and plasma treatments of the interface between the gate dielectric and the channel layer. The results demonstrate that with appropriate process optimization, the large area thin film Si technology suits well the fabrication of electronic devices on low-cost plastic substrates.

167 citations


Patent
10 Jan 2005
TL;DR: In this article, a method for forming sidewall spacers on a gate stack by depositing one or more layers of silicon containing materials using PECVD process(es) on the gate structure to produce a spacer having an overall k value of about 3.0 to about 5.0.
Abstract: A method for forming sidewall spacers on a gate stack by depositing one or more layers of silicon containing materials using PECVD process(es) on a gate structure to produce a spacer having an overall k value of about 3.0 to about 5.0. The silicon containing materials may be silicon carbide, oxygen doped silicon carbide, nitrogen doped silicon carbide, carbon doped silicon nitride, nitrogen doped silicon oxycarbide, or combinations thereof. The deposition is performed in a plasma enhanced chemical vapor deposition chamber and the deposition temperature is less than 450° C. The sidewall spacers so produced provide good capacity resistance, as well as excellent structural stability and hermeticity.

166 citations


Patent
18 Jul 2005
TL;DR: In this article, it was shown that adding H2 to a precursor gas composition including SiH4, NH3, and N2 is effective at improving the wet etch rate and the wet-layer uniformity of a-SiNx:H films.
Abstract: We have discovered that adding H2 to a precursor gas composition including SiH4, NH3, and N2 is effective at improving the wet etch rate and the wet etch rate uniformity across the substrate surface off a-SiNx:H films which are deposited on a substrate by PECVD. Wet etch rate is an indication of film density. Typically, the lower the wet etch rate, the denser the film. The addition of H2 to the SiH4 / NH3 / N2 precursor gas composition did not significantly increase the variation in deposited film thickness across the surface of the substrate. The a-SiNx:H films described herein are particularly useful as TFT gate dielectrics in the production of flat panel displays. The uniformity of the film across the substrate enables the production of flat panel displays having surface areas of 25,000 cm2 and larger.

Journal ArticleDOI
TL;DR: In this article, an analysis is presented of the relation between deposition parameters of microwave PECVD and material properties of silicon nitride, which is a very effective method for high-throughput deposition of silicon oxide layers with the required properties for bulk and surface passivation.
Abstract: Bulk and surface passivation by silicon nitride has become an indispensable element in industrial production of multicrystalline silicon (mc-Si) solar cells. Microwave PECVD is a very effective method for high-throughput deposition of silicon nitride layers with the required properties for bulk and surface passivation. In this paper an analysis is presented of the relation between deposition parameters of microwave PECVD and material properties of silicon nitride. By tuning the process conditions (substrate temperature, gas flows, working pressure) we have been able to fabricate silicon nitride layers which fulfill almost ideally the four major requirements for mc-Si solar cells: (1) good anti-reflection coating (refractive index tunable between 2·0 and 2·3); (2) good surface passivation on p-type FZ wafers (Seff<30 cm/s); (3) good bulk passivation (improvement of IQE at 1000 nm by 30% after short thermal anneal); (4) long-term stability (no observable degradation after several years of exposure to sunlight). By implementing this silicon nitride deposition in an inline production process of mc-Si solar cells we have been able to produce cells with an efficiency of 16·5%. Finally, we established that the continuous deposition process could be maintained for at least 20 h without interruption for maintenance. On this timescale we did not observe any significant changes in layer properties or cell properties. This shows the robustness of microwave PECVD for industrial production. Copyright © 2005 John Wiley & Sons, Ltd.

Journal ArticleDOI
TL;DR: In this paper, a PECVD-enhanced chemical vapor deposition (PECVD) based heterojunction based on SnS compounds, SnS and SnS2, was obtained by the decomposition of the gas precursors SnCl4 and H2S in a capacitive-coupled RF plasma-deposition chamber.

Patent
15 Sep 2005
TL;DR: In this article, a ruthenium tetroxide containing solvent formation process is used to form a rUThenium containing layer on a surface of a substrate, using the ruthension tetroxides containing solvent.
Abstract: An exemplary apparatus and method of forming a ruthenium tetroxide containing gas to form a ruthenium containing layer on a surface of a substrate is described herein. The method and apparatus described herein may be especially useful for fabricating electronic devices that are formed on a surface of the substrate or wafer. Generally, the method includes exposing a surface of a substrate to a ruthenium tetroxide vapor to form a catalytic layer on the surface of a substrate and then filling the device structures by an electroless, electroplating, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD) or plasma enhanced ALD (PE-ALD) processes. In one embodiment, the ruthenium containing layer is formed on a surface of a substrate by creating ruthenium tetroxide in an external vessel and then delivering the generated ruthenium tetroxide gas to a surface of a temperature controlled substrate positioned in a processing chamber. In one embodiment, a ruthenium tetroxide containing solvent formation process is used to form ruthenium tetroxide using a ruthenium tetroxide containing source material. In one embodiment, of a ruthenium containing layer is formed on a surface of a substrate, using the ruthenium tetroxide containing solvent. In another embodiment, the solvent is separated from the ruthenium tetroxide containing solvent mixture and the remaining ruthenium tetroxide is used to form a ruthenium containing layer on the surface of a substrate.

Journal ArticleDOI
TL;DR: In this article, two-dimensional carbon nanostructures (carbon nanowalls) were fabricated by capacitively coupled, radio-frequency (rf) plasma-enhanced chemical vapor deposition (PECVD) employing fluorocarbon/hydrogen (H) mixtures.

Journal ArticleDOI
TL;DR: In this paper, the phase separation, silicon nanocrystal (Si NC) formation and optical properties of Si oxide (SiOx, 0
Abstract: We have investigated phase separation, silicon nanocrystal (Si NC) formation and optical properties of Si oxide (SiOx, 0

Journal ArticleDOI
TL;DR: In this paper, high-vacuum plasma-assisted chemical vapor deposition (HVP-CVD) was found to be weakly activated with an apparent activation energy of ∼ 0.1 eV, allowing room temperature synthesis.

Journal ArticleDOI
TL;DR: In this article, the effect of microwave power on surface morphology of carbon petals has been investigated by scanning electron microscopy, which revealed characteristics of disordered microcrystalline graphite, which became more disordered with an increase in microwave power.

Journal ArticleDOI
TL;DR: In this article, the effects of doping with fluorine to diamond-like carbon (DLC) films on antithrombogenicity were investigated by changing its content, and it was found that the addition of fluorine into DLC films much improved antithromeogenicity, which was clearly shown by scanning electron microscopy (SEM).

Journal ArticleDOI
TL;DR: In this paper, the band gap, stress, density and Young's modulus of hydrogenated amorphous carbon (a-C:H) films were studied in detail.

Patent
02 Sep 2005
TL;DR: In this paper, the authors used a silicon nitride film, which can be formed by plasma enhanced chemical vapor deposition (PCVD), as a gate insulating film in a thin film transistor having a top gate structure and using an oxide semiconductor thin film mainly composed of zinc oxide ZnO.
Abstract: PROBLEM TO BE SOLVED: To use a silicon nitride film, which can be formed by plasma enhanced chemical vapor deposition (PCVD), as a gate insulating film in a thin film transistor having a top gate structure and using an oxide semiconductor thin film mainly composed of zinc oxide ZnO While using the gate insulating film as a two-layer structure, by adjusting the nitrogen concentration and hydrogen concentration of each insulating film, the zinc oxide (ZnO) semiconductor thin film was protected from reduction and the generation of leakage current was suppressed And thin film transistors with high current drive capability A top gate type thin film transistor having a semiconductor thin film layer made of an oxide mainly composed of zinc oxide ZnO and a gate insulating film made of silicon nitride (SiNx), wherein the gate insulating film is the semiconductor thin film layer A first gate insulating film covering at least the upper surface of the first gate insulating film, and a second gate insulating film covering at least the side surfaces of the first gate insulating film and the semiconductor thin film, and the first gate insulating film and the second gate insulating film A thin film transistor, wherein the film is made of silicon nitride (SiNx) having a different composition [Selection] Figure 1

Journal ArticleDOI
TL;DR: In this article, the influence of various deposition parameters on the deposition rate and the material properties was systematically investigated, and it was shown that the deposition performance of solar cells is determined by the product of the chemical reaction at the filament and the gas phase.
Abstract: Microcrystalline silicon (μc-Si:H) of superior quality can be prepared using the hot-wire chemical-vapor deposition method (HWCVD). At a low substrate temperature (TS) of 185 °C excellent material properties and solar cell performance were obtained with spin densities of 6×1015cm−3 and solar cell efficiencies up to 9.4%, respectively. In this study we have systematically investigated the influence of various deposition parameters on the deposition rate and the material properties. For this purpose, thin films and solar cells were prepared at specific substrate and filament temperatures and deposition pressures (pD), covering the complete range from amorphous to highly crystalline material by adjusting the silane concentration. The influence of these deposition parameters on the chemical reactions at the filament and in the gas phase qualitatively explains the behavior of the structural composition and the formation of defects. In particular, we propose that the deposition rate is determined by the product...

Journal ArticleDOI
TL;DR: In this article, a detailed study of the process parameters that control the synthesis of homoepitaxial single-crystal diamond by chemical vapour deposition (CVD) using a microwave plasma-assisted reactor is presented.

Journal ArticleDOI
TL;DR: In this paper, the authors used postplasma treatments to modify the microstructure of the as-deposited SnO2 thin films and observed uniform nanorods with dimension of ∅7×100nm in the plasma-treated films.
Abstract: SnO2 thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO2 thin films. Uniform nanorods with dimension of ∅7×100nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO2 thin films decreased by 80 °C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO2 thin film were believed to result from the large surface-to-volume ratio of the nanorods’ tiny grain size in the scale comparable to the space-charge length and its unique microstructure of SnO2 nanorods rooted in SnO2 thin films.

Journal ArticleDOI
TL;DR: In this paper, a batch reactor equipped with a 2.45 GHz slot antenna plasma source and a 13.56 MHz-biased substrate holder, was used to conduct the experiments.
Abstract: This study focuses on the water vapor permeability of plasma enhanced chemical vapor deposited (PECVD) silicon oxide (SiO x ) films. A batch reactor equipped with a 2.45 GHz slot antenna plasma source and a 13.56 MHz-biased substrate holder, was used to conduct the experiments. The remote microwave and the direct radio frequency plasma source can be operated separately or in dual mode. An oxygen plasma was generated and hexamethyldisiloxane (HMDSO) used as monomer. The SiO 2 -like films were deposited onto 12 μm PET film. An increase of RF-power input, oxygen-to-monomer flow rate ratio, layer thickness or decrease of process pressure was found to enhance the gas barrier performance. Compared to uncoated PET films, a maximum reduction of more than a factor of 150 has been achieved for water vapor transmission rate.

Journal ArticleDOI
TL;DR: In this article, a-SiC:H grown on Si single crystal (1.0.0) by plasma-enhanced chemical vapor deposition (PECVD) is analyzed by Fourier transform infrared (FTIR) spectroscopy.

Journal ArticleDOI
TL;DR: In this paper, an intrinsic buffer layer fabricated by hot-wire (HW) CVD was used to improve the performance of a single junction microcrystalline silicon (μc-Si:H) solar cell.
Abstract: Significant improvement in open circuit voltage and fill factor was achieved for microcrystalline silicon (μc‐Si:H) solar cells deposited by plasma-enhanced chemical vapor deposition (PECVD) by the incorporation of an intrinsic μc‐Si:Hp∕i buffer layer fabricated by hot-wire (HW) CVD. The improved p∕i interface quality, likely due to the ion-free deposition on the p layers in the HWCVD process, was concluded from a considerably enhanced blue light response in such solar cells. Using this buffer layer concept allows the authors to apply high deposition rate PECVD processes for the μc‐Si:Hi layer material, yielding a high efficiency of 10.3% for a single junction μc‐Si:H solar cell.

Journal ArticleDOI
01 Jan 2005-Carbon
TL;DR: In this paper, a range of techniques including XRR, NEXAFS, Raman, surface profilometry, nano-indentation and water vapour permeation analysis were used to analyze the microstructure and properties of the films.

Patent
Raymond Joe1
26 Apr 2005
TL;DR: In this paper, a low-temperature plasma-enhanced chemical vapor deposition of a silicon-nitrogen-containing film on a substrate (40,125) is described, where the reactant gas can contain a nitrogen-containing gas to deposit a SiCNH film.
Abstract: A method for low-temperature plasma-enhanced chemical vapor deposition of a silicon-nitrogen-containing film on a substrate (40,125). The method includes providing a substrate (40, 125) in a process chamber (10, 110), exciting a reactant gas in a remote plasma source (94, 205), thereafter mixing the excited reactant gas with a silazane precursor gas, and depositing a silicon-nitrogen-containing film on the substrate (40, 125) from the excited gas mixture in a chemical vapor deposition process. In one embodiment of the invention, the reactant gas can contain a nitrogen-containing gas to deposit a SiCNH film. In another embodiment of the invention, the reactant gas can contain an oxygen-containing gas to deposit a SiCNOH film.

Journal ArticleDOI
TL;DR: In this paper, the authors studied the characteristics of two high-index optical materials, namely amorphous tantalum pentoxide (Ta2O5) and niobium pentoxide, prepared by plasma enhanced chemical vapor deposition.
Abstract: Advanced optical filter applications require an appropriate control of the optical constants, as well as of other suitable film properties such as mechanical performance, thermal and environmental stability, absence of refractive index inhomogeneities, and others. In the present work we studied the characteristics of two high index optical materials, namely amorphous tantalum pentoxide (Ta2O5) and niobium pentoxide (Nb2O5) prepared by plasma enhanced chemical vapor deposition, using penta-ethoxy tantalum Ta(OC2H5)5 and penta-ethoxy niobium, Nb(OC2H5)5, precursors. We particularly investigated the effect of energetic conditions on the film growth by using different modes of plasma excitation, namely rf, microwave, and dual-mode microwave/radio frequency discharges. Under sufficient ion bombardment, controlled by the rf-induced negative substrate bias, the dense Ta2O5 and Nb2O5 films exhibited a refractive index of 2.16 and 2.26 (at 550nm), respectively, while the extinction coefficient was below 10−5, as d...