scispace - formally typeset
Search or ask a question

Showing papers on "Very-large-scale integration published in 2000"


Proceedings ArticleDOI
01 Jun 2000
TL;DR: The state-of-the-art after two decades of research in recursive bisection placement is summarized and a new placer is implemented, called Capo, to empirically study the achievable limits of the approach and validates fixed-die placement results by violation-free detailed auto-routability.
Abstract: This work focuses on congestion-driven placement of standard cells into rows in the fixed-die context. We summarize the state-of-the-art after two decades of research in recursive bisection placement and implement a new placer, called Capo, to empirically study the achievable limits of the approach. From among recently proposed improvements to recursive bisection, Capo incorporates a leading-edge multilevel min-cut partitioner [7], techniques for partitioning with small tolerance [8], optimal min-cut partitioners and end-case min-wirelength placers [5], previously unpublished partitioning tolerance computations, and block splitting heuristics. On the other hand, our “good enough” implementation does not use “overlapping” [17], multi-way partitioners [17, 20], analytical placement, or congestion estimation [24, 35]. In order to run on recent industrial placement instances, Capo must take into account fixed macros, power stripes and rows with different allowed cell orientations. Capo reads industry-standard LEF/DEF, as well as formats of the GSRC bookshelf for VLSI CAD algorithms [6], to enable comparisons on available placement instances in the fixed-die regime.Capo clearly demonstrates that despite a potential mismatch of objectives, improved mincut bisection can still lead to improved placement wirelength and congestion. Our experiments on recent industrial benchmarks fail to give a clear answer to the question in the title of this paper. However, they validate a series of improvements to recursive bisection and point out a need for transparent congestion management techniques that do not worsen the wirelength of already routable placements. Our experimental flow, which validates fixed-die placement results by violation-free detailed auto-routability, provides a new norm for comparison of VLSI placement implementations.

378 citations


Proceedings ArticleDOI
01 Jan 2000
TL;DR: An image encryption/decryption algorithm and its VLSI architecture with low hardware cost, high computing speed, and high hardware utilization efficiency is proposed and the architecture of integrating the scheme with MPEG2 is proposed.
Abstract: In this paper, an image encryption/decryption algorithm and its VLSI architecture are proposed. According to a chaotic binary sequence, the gray level of each pixel is XORed or XNORed bit-by-bit to one of the two predetermined keys. Its features are as follows: (1) low computational complexity, (2) high security, and (3) no distortion. In order to implement the algorithm, its VLSI architecture with low hardware cost, high computing speed, and high hardware utilization efficiency is also designed. Moreover, the architecture of integrating the scheme with MPEG2 is proposed. Finally, simulation results are included to demonstrate its effectiveness.

281 citations


Journal ArticleDOI
TL;DR: New closed-form capacitance formulas for two major structures in VLSI, namely: parallel lines on a plane and wires between two planes, are developed by considering the electrical flux to adjacent wires and to ground separately.
Abstract: Increasing complexity in VLSI circuits makes metal interconnection a significant factor affecting circuit performance. In this paper, we first develop new closed-form capacitance formulas for two major structures in VLSI, namely: (1) parallel lines on a plane and (2) wires between two planes, by considering the electrical flux to adjacent wires and to ground separately. We then further derive closed-form solutions for the delay and crosstalk noise. The capacitance models agree well with numerical solutions of three-dimensional (3-D) Poisson equation as well as measurement data. The delay and crosstalk models agree well with SPICE simulations.

263 citations


Journal ArticleDOI
TL;DR: In this paper, the analytic theory governing the complete scattering response of two-dimensional (2D) microring resonator arrays is developed, the method is applicable to arbitrary interconnections of general four-port, single polarization nodes.
Abstract: The analytic theory governing the complete scattering response of two-dimensional (2-D) microring resonator arrays is developed, The method is applicable to arbitrary interconnections of general four-port, single polarization nodes. An 8/spl times/8 cross-grid array of vertically coupled glass microring resonators is fabricated for test purposes.

259 citations


Journal ArticleDOI
01 Dec 2000
TL;DR: The wire (interconnect)-length distribution of 3-D integrated circuits (ICs) is derived using Rent's rule and following the methodology used to estimate two-dimensional (2-D) (wire-length distribution).
Abstract: In this paper, the wire (interconnect)-length distribution of three-dimensional (3-D) integrated circuits (ICs) is derived using Rent's rule and following the methodology used to estimate two-dimensional (2-D) (wire-length distribution). Two limiting cases of connectivity between logic gates on different device layers are examined by comparing the wire-length distribution and average and total wire-length. System performance metrics such as clock frequency, chip area, etc., are estimated using wire-length distribution, interconnect delay criteria, and simple models representing the cost or complexity for manufacturing 3-D ICs. The technology requirement for interconnects in 3-D integration is also discussed.

202 citations


Journal ArticleDOI
TL;DR: A novel 16-transistor CMOS 1-bit full-adder cell that uses the low-power designs of the XOR and XNOR gates, pass transistors, and transmission gates to offer higher speed and lower power consumption and energy savings up to 30% are achieved.
Abstract: A novel 16-transistor CMOS 1-bit full-adder cell is proposed. It uses the low-power designs of the XOR and XNOR gates, pass transistors, and transmission gates. The cell offers higher speed and lower power consumption than standard implementations of the 1-bit full-adder cell. Eliminating an inverter from the critical path accounts for its high speed, while reducing the number and magnitude of the cell capacitances, in addition to eliminating the short circuit power component, account for its low power consumption. Simulation results comparing the proposed cell to the standard implementations show its superiority. Different circuit structures and input patterns are used for simulation. Energy savings up to 30% are achieved.

181 citations


Proceedings ArticleDOI
01 Jun 2000
TL;DR: It is shown that significant improvement in performance and reduction in wire-limited chip area can be achieved with 3-D ICs with vertical inter-layer interconnects (VILICs), and it is demonstrated that using a thermally responsible design and/or a high-performance heat sinking technology, die temperatures can be reduced well below present die temperatures.
Abstract: Continuous scaling of VLSI circuits is reducing gate delays but rapidly increasing interconnect delays. Semiconductor Industry Association (SIA) roadmap predicts that, beyond the 130 nm technology node, performance improvement of advanced VLSI is likely to begin to saturate unless a paradigm shift from present IC architecture is introduced. This paper presents a comprehensive analytical treatment of ICs with multiple Si layers (3-D ICs). It is shown that significant improvement in performance (more than 145%) and reduction in wire-limited chip area can be achieved with 3-D ICs with vertical inter-layer interconnects (VILICs). This analysis is based on dividing a chip into separate blocks, each occupying a separate physical level. A scheme to optimize interconnect distribution among different interconnect tiers is presented and the effect of transferring the repeaters to upper Si layers has been quantified in this analysis. Furthermore, thermal analysis of ICs with two Si layers is presented. It is demonstrated that using a thermally responsible design and/or a high-performance heat sinking technology, die temperatures for ICs with two Si layers can be reduced well below present die temperatures. Finally, implications of 3-D architecture on several circuit designs are also discussed.

172 citations


Proceedings ArticleDOI
05 Nov 2000
TL;DR: Experimental results indicate that the coupling-driven bus invert method use slim encoder and decoder architecture to minimize the hardware overhead and save effective switchings as much as 30% in an 8-bit bus with one-cycle redundancy.
Abstract: Coupling effects between on-chip interconnects must be addressed in ultra deep submicron VLSI and system-on-a-chip (SoC) designs. A new low-power bus encoding scheme is proposed to minimize coupled switchings which dominate the on-chip bus power consumption. The coupling-driven bus invert method use slim encoder and decoder architecture to minimize the hardware overhead. Experimental results indicate that our encoding methods save effective switchings as much as 30% in an 8-bit bus with one-cycle redundancy.

167 citations


Proceedings ArticleDOI
20 Mar 2000
TL;DR: A general methodology for full-chip analysis and improvement of yield loss due to lithographic effects is proposed and is efficient and well suited for application to modern VLSI designs of memory or logic devices.
Abstract: Degradation of lithographic pattern fidelity is a major cause of yield loss in VLSl manufacturing. A general methodology for full-chip analysis and improvement of yield loss due to lithographic effects is proposed The approach is based on: a) extraction of pattern fidelity statistics using a full-chip layout engine, b) full-chip optical proximity correction (OPC) to improve pattern reproduction, and c) estimation of yield losses due to line variability, using transistor sensitivity to pattern registration obtained from physical transistor modeling. As a result, yield estimates related to either pattern reproduction fidelity or transistor parametric data variations (such as leakage or drive current) are generated. The method is efficient and well suited for application to modern VLSI designs of memory or logic devices.

156 citations


Journal ArticleDOI
TL;DR: The paper presents an evolutionary approach to the design of fault-tolerant VLSI (very large scale integrated) circuits using EHW (evolvable hardware), and compares two methods to achieve fault-Tolerant design, one based on fitness definition and the other based on population.
Abstract: The paper presents an evolutionary approach to the design of fault-tolerant VLSI (very large scale integrated) circuits using EHW (evolvable hardware). The EHW research area comprises a set of applications where GA (genetic algorithms) are used for the automatic synthesis and adaptation of electronic circuits. EHW is particularly suitable for applications requiring changes in task requirements and in the environment or faults, through its ability to reconfigure the hardware structure dynamically and autonomously. This capacity for adaptation is achieved via the use of GA search techniques, in our experiments, a fine-grained CMOS (complementary metal-oxide silicon) FPTA (field-programmable FPGA transistor array) architecture is used to synthesize electronic circuits. The FPTA is a reconfigurable architecture, programmable at the transistor level and specifically designed for EHW applications. The paper demonstrates the power of EA to design analog and digital fault-tolerant circuits. It compares two methods to achieve fault-tolerant design, one based on fitness definition and the other based on population. The fitness approach defines, explicitly, the faults that the component can encounter during its life, and evaluates the average behavior of the individuals. The population approach, on the other hand, uses the implicit information of the population statistics accumulated by the GA over many generations. The paper presents experiment results obtained using both approaches for the synthesis of a fault-tolerant digital circuit (XNOR) and a fault-tolerant analog circuit (multiplier).

153 citations


Proceedings ArticleDOI
02 Mar 2000
TL;DR: A new routing paradigm that strikes at the root of the interconnect problem by reducing wire lengths directly is explored, and a non-Manhattan Steiner tree heuristic is presented, obtaining wire length reductions of much as 17% on average, when compared to rectilinear topologies.
Abstract: Circuit interconnect has become a substantial obstacle in the design of high performance systems. In this paper we explore a new routing paradigm that strikes at the root of the interconnect problem by reducing wire lengths directly. We present a non-Manhattan Steiner tree heuristic, obtaining wire length reductions of much as 17% on average, when compared to rectilinear topologies. Moreover, we present a graph-based interconnect optimization algorithm, called the GRATS-tree algorithm, which allows performance optimization beyond what can be obtained through wire length reduction alone. The two tree construction algorithms are integrated into a new global router that allows large scale non-Manhattan design. Although we consider circuit placements performed under rectilinear objectives, our global router can reduce maximum congestion levels by as much as 20%. In general we find that the non-Manhattan approach requires additional Steiner points and bends; realization of non-Manhattan routing structures requires additional vias. We observe that the increase in via cost is much less dramatic than might be expected; the benefits of wire length reduction may outweigh the additional via cost.

Proceedings ArticleDOI
28 Jan 2000
TL;DR: This work presents new techniques for flat FM-based hypergraph partitioning, which confirm the conjecture that specialized partitioning heuristics may be able to actively exploit fixed nodes in partitioning instances arising in the driving top-down placement context, and a new multilevel implementation that offers leading-edge performance.
Abstract: Multilevel Fiduccia-Mattheyses (MLFM) hypergraph partitioning is a fundamental optimization in VLSI CAD physical design. The leading implementation, hMetis, has since 1997 proved itself substantially superior in both runtime and solution quality to even very recent work. In this work, we present two sets of results: (i) new techniques for flat FM-based hypergraph partitioning (which is the core of multilevel implementations), and (ii) a new multilevel implementation that offers leading-edge performance. Our new techniques for flat partitioning confirm the conjecture that specialized partitioning heuristics may be able to actively exploit fixed nodes in partitioning instances arising in the driving top-down placement context. Our FM variant is competitive with traditional FM on instances without terminals and considerably superior on instances with fixed nodes (i.e., arising during top-down placement). Our multilevel FM variant avoids several complex heuristics and non-trivial tunings that often lead to complex implementations; it achieves trade-offs between solution quality and run time that are comparable or better than those achieved by hMetis-1.5.3. We attempt to provide algorithm descriptions that are as detailed and unambiguous as possible, to allow replicability and speed improvements in future research.

Proceedings ArticleDOI
20 Mar 2000
TL;DR: The solution to the crosstalk problem implemented in the static timing tool PathMill as its crosStalk extension (CTX) is presented and a comparison of simulation results between this approach and SPICE is provided.
Abstract: A complete and accurate method for static timing analysis of deep sub-micron devices in presence of crosstalk is introduced. This scheme provides an efficient platform for fast and accurate static timing verification of large scale transistor and cell level netlists, with coupled interconnects and high switching speeds. This paper presents the solution to the crosstalk problem implemented in the static timing tool PathMill as its crosstalk extension (CTX). A comparison of simulation results between this approach and SPICE is also provided.

Journal ArticleDOI
01 Apr 2000
TL;DR: An efficient hierarchical chaotic image encryption algorithm and its VLSI architecture and its FPGA realisation of its key modules are proposed and its fractal dimension is computed to demonstrate the effectiveness of the proposed scheme.
Abstract: An efficient hierarchical chaotic image encryption algorithm and its VLSI architecture are proposed. Based on a chaotic system and a permutation scheme, all the partitions of the original image are rearranged and the pixels in each partition are scrambled. Its properties of high security, parallel and pipeline processing, and no distortion are analysed. To implement the algorithm, its VLSI architecture with pipeline processing, real-time processing capability, and low hardware cost is designed and the FPGA realisation of its key modules is given. Finally, the encrypted image is simulated and its fractal dimension is computed to demonstrate the effectiveness of the proposed scheme.

Journal ArticleDOI
TL;DR: This paper addresses the problem of device-level placement for analog layout by using a more recent topological representation called sequence-pair, which has the advantage of not being restricted to slicing floorplan topologies.
Abstract: This paper addresses the problem of device-level placement for analog layout, focusing mainly on symmetry-related aspects. Different from most of the existent analog placement approaches, employing basically simulated annealing optimization algorithms operating on flat (absolute) spatial representations, our model uses a more recent topological representation called sequence-pair, which has the advantage of not being restricted to slicing floorplan topologies. In this paper, we explain how specific features essential to analog placement, such as the ability to deal with complex symmetry constraints (for instance, an arbitrary number of symmetry groups of cells), can be easily handled by employing the sequence-pair representation. Several analog examples substantiate the effectiveness of our placement tool, which is already in use in an industrial environment.

Journal ArticleDOI
TL;DR: Algorithms for the synthesis of encoding and decoding interface logic that minimizes the average number of transitions on heavily-loaded global bus lines at no cost in communication throughput are presented.
Abstract: In this paper we present algorithms for the synthesis of encoding and decoding interface logic that minimizes the average number of transitions on heavily-loaded global bus lines at no cost in communication throughput (i.e., one word is transmitted at each cycle). The distinguishing feature of our approach is that it does not rely on designer's intuition, but it automatically constructs low-transition activity codes and hardware implementation of encoders and decoders, given information on word-level statistics. We propose an accurate method that is applicable to low-width buses, as well as approximate methods that scale well with bus width. Furthermore, we introduce an adaptive architecture that automatically adjusts encoding to reduce transition activity on buses whose word-level statistics are not known a priori. Experimental results demonstrate that our approaches out-perform specialized low-power encoding schemes presented in the past.

Journal ArticleDOI
TL;DR: A hardware model of a selective attention mechanism implemented on a very large-scale integration (VLSI) chip, using analog neuromorphic circuits, that exploits a spike-based representation to receive, process, and transmit signals.
Abstract: Attentional mechanisms are required to overcome the problem of flooding a limited processing capacity system with information. They are present in biological sensory systems and can be a useful engineering tool for artificial visual systems. In this article we present a hardware model of a selective attention mechanism implemented on a very large-scale integration (VLSI) chip, using analog neuromorphic circuits. The chip exploits a spike-based representation to receive, process, and transmit signals. It can be used as a transceiver module for building multichip neuromorphic vision systems. We describe the circuits that carry out the main processing stages of the selective attention mechanism and provide experimental data for each circuit. We demonstrate the expected behavior of the model at the system level by stimulating the chip with both artificially generated control signals and signals obtained from a saliency map, computed from an image containing several salient features.

Journal ArticleDOI
TL;DR: The need for quantifiable metrics and effective models for CMF in VLSI systems is re-emphasized and system designers and synthesis tools can incorporate diversity in redundant systems to maximize protection against CMF.
Abstract: This paper presents a survey of CMF (common-mode failures) in redundant systems with emphasis on VLSI (very large scale integration) systems. The paper discusses CMF in redundant systems, their possible causes, and techniques to analyze reliability of redundant systems in the presence of CMF. Current practice and results on the use of design diversity techniques for CMF are reviewed. By revisiting the CMF problem in the context of VLSI systems, this paper augments earlier surveys on CMF in nuclear and power-supply systems. The need for quantifiable metrics and effective models for CMF in VLSI systems is re-emphasized. These metrics and models are extremely useful in designing reliable systems. For example, using these metrics and models, system designers and synthesis tools can incorporate diversity in redundant systems to maximize protection against CMF.

Journal ArticleDOI
TL;DR: A low-power analog very large scale integration (aVLSI) chip that models motion computation in the fly and closely follows the anatomical layout of of the fly visual layers.
Abstract: Flies orientate themselves quickly in an unstructured environment through motion information computed from their low-resolution compound eyes. The fly visual system is an example of a robust motion system that works in a natural environment. In this paper, the author describes a low-power analog very large scale integration (aVLSI) chip that models motion computation in the fly. The architecture of this motion chip closely follows the anatomical layout of of the fly visual layers. The output of the chip corresponds to the responses of the wide-field direction-selective cells in the final layer of the visual system. The silicon chip has a one-dimensional array of 37 elementary motion detectors (EMDs) each of which provides local motion information. The EMD outputs are aggregated in a nonlinear way to produce a motion output that is independent of the stimulus size and contrast. The author employed various circuit techniques to ensure robust motion computation in each processing stage. Results from the circuit fabricated in a 1.2 /spl mu/m CMOS technology are compared with the responses of the direction-selective cells.

Proceedings ArticleDOI
20 Mar 2000
TL;DR: A survey of the low power testing techniques that can be used to test VLSI systems and state-of-the-art techniques that exist to reduce this power/energy consumption during test mode and allow non-destructive testing of the device under test.
Abstract: Power and energy consumption of digital systems may increase significantly during testing. This extra power consumption due to test application may give rise to severe hazards to the circuit reliability. Moreover, it may be responsible for cost, performance verification as well as technology related problems and can dramatically shorten the battery life when on-line testing is considered. In this paper, we present a survey of the low power testing techniques that can be used to test VLSI systems. In the first part, the paper explains the problems induced by the increased power consumed during functional testing of a circuit, in either external testing or built-in self-test (BIST). Next, we survey state-of-the-art techniques that exist to reduce this power/energy consumption during test mode and allow non-destructive testing of the device under test.

Journal ArticleDOI
08 Aug 2000
TL;DR: Evaluation of the proposed design technique for sizing and placing on-chip decoupling capacitors based on activity signatures from the microarchitecture indicates that this technique can produce up to a 30% improvement in maximum noise levels over a uniform decoupled capacitor placement strategy.
Abstract: Switching activity-generated power-supply grid-noise presents a major obstacle to the reduction of supply voltage in future generation semiconductor technologies. A popular technique to counter this issue involves the usage of decoupling capacitors. This paper presents a novel design technique for sizing and placing on-chip decoupling capacitors based on activity signatures from the microarchitecture. Simulation of a typical processor workload (SPEC95) provides a realistic stimulation of microarchitecture elements that is coupled with a spatial power grid model. Evaluation of the proposed technique on typical microprocessor implementations (the Alpha 21264 and the Pentium II) indicates that this technique can produce up to a 30% improvement in maximum noise levels over a uniform decoupling capacitor placement strategy.

Proceedings ArticleDOI
01 Jun 2000
TL;DR: This paper summarizes the beginnings of a simple QCA microprocessor (namely, its dataflow) and a QCA design and simulation tool and methods for simulating and testing QCA designs should be developed.
Abstract: Despite the seemingly endless upw ards spiral of modern VLSI technology, many experts are predicting a hard w all for CMOS in about a decade. Given this, researc hers con tin ue to look at alternative technologies, one of which is based on quan tumdots, called quan tumcellular automata (QCA). While the first such devices have been fabricated, little is kno wn about how to design complete systems of them. This paper summarizes one of the first such studies, namely an attempt to design a complete, albeit simple, CPU in the technology. T o design a theoretical QCA microprocessor, two things must be accomplished. First a device model of the processor must be constructed (i.e. the schematic itself). Second, methods for sim ulatingand testing QCA designs m ust be developed. This paper summarizes the beginnings of a simple QCA microprocessor (namely, its dataflow) and a QCA design and simulation tool.

Journal ArticleDOI
TL;DR: A new fast and efficient reconfiguration algorithm is proposed and empirical study shows that the new algorithm indeed produces good results in terms of the percentages of harvest and degradation of VLSI/WSI arrays.
Abstract: This paper considers the problem of reconfiguring two-dimensional degradable VLSI/WSI arrays under the constraint of row and column rerouting. The goal of the reconfiguration problem is to derive a fault-free subarray T from the defective host array such that the dimensions of T are larger than some specified minimum. This problem has been shown to be NP-complete under various switching and routing constraints. However, we show that a special case of the reconfiguration problem is optimally solvable in linear time. Using this result, a new fast and efficient reconfiguration algorithm is proposed. Empirical study shows that the new algorithm indeed produces good results in terms of the percentages of harvest and degradation of VLSI/WSI arrays.

Patent
Mysore Sriram1, May Huang1
31 Mar 2000
TL;DR: In this article, the authors propose a method to route a net to a set of crosspoints on a boundary by propagating the costs to a succeeding set of nodes on the boundary.
Abstract: In one embodiment the invention is a method. The method includes finding costs to route a net to a set of crosspoints on a boundary. The method also includes propagating the costs to a succeeding set of nodes.

Journal ArticleDOI
TL;DR: A Reichardt motion sensor with integrated photodetectors in a standard CMOS process is implemented, and the effects of device mismatch on these parallel, analog circuits are measured to show they are suitable for constructing 2-D VLSI arrays.
Abstract: Silicon imagers with integrated motion-detection circuitry have been developed and tested for the past 15 years. Many previous circuits estimate motion by identifying and tracking spatial or temporal features. These approaches are prone to failure at low SNR conditions, where feature detection becomes unreliable. An alternate approach to motion detection is an intensity-based spatiotemporal correlation algorithm, such as the one proposed by Hassenstein and Reichardt in 1956 to explain aspects of insect vision. We implemented a Reichardt motion sensor with integrated photodetectors in a standard CMOS process. Our circuit operates at sub-microwatt power levels, the lowest reported for any motion sensor. We measure the effects of device mismatch on these parallel, analog circuits to show they are suitable for constructing 2-D VLSI arrays. Traditional correlation-based sensors suffer from strong contrast dependence. We introduce a circuit architecture that lessens this dependence. We also demonstrate robust performance of our sensor to complex stimuli in the presence of spatial and temporal noise.

Book ChapterDOI
27 Aug 2000
TL;DR: An innovative architecture for a reconfigurable device that allows single cycle context switching and single cycle random access to the unified on-chip configuration/data memory and how self-reconfiguration can be used to do basic routing operations in a few clock cycles is illustrated.
Abstract: This paper presents an innovative architecture for a reconfigurable device that allows single cycle context switching and single cycle random access to the unified on-chip configuration/data memory. These two features are necessary for efficient self-reconfiguration and are useful in general as well--no other device offers both features. The enhanced context switching feature permits arbitrary regions of the chip to selectively context switch--its not necessary for the whole device to do so. The memory access feature allows data transfer between logic cells and memory locations, and also directly between memory locations. The key innovation enabling the above features is the use of a mesh of trees based interconnect with logic cells and memory blocks at the leaf nodes and identical switches at other nodes. The mesh of trees topology allows a logic cell to be associated with a pair of switches. The logic cell and the switches can be placed close to the memory block that stores their configuration bits. The physical proximity enables fast context switching while the mesh of trees topology permits fast memory access. To evaluate the architecture, a point design with 8 × 8 logic cells was synthesized using a standard cell library for a 0.25 µm process with 5 metal layers. Timing results obtained show that both context switching and memory access can be performed within a 10 ns clock cycle. Finally, this paper also illustrates how self-reconfiguration can be used to do basic routing operations of connecting two logic cells or inserting a logic cell by breaking an existing connection--algorithms (implemented as configured logic) to perform the above operations in a few clock cycles are presented.

Journal ArticleDOI
TL;DR: This survey reviews common test methods and analyzes the basic test procedure, the concept of BIST is introduced and discussed, BIST strategies for random logic as well as for structured logic are shown.

Proceedings ArticleDOI
21 May 2000
TL;DR: In this paper, on-chip inductance modeling of VLSI interconnects is presented which captures 3D geometry from layout design and process technology information, and analytical formulae are derived for quick and accurate inductance estimation which can be used in circuit simulations and whole chip extraction screening process.
Abstract: On-chip inductance modeling of VLSI interconnects is presented which captures 3D geometry from layout design and process technology information. Analytical formulae are derived for quick and accurate inductance estimation which can be used in circuit simulations and whole chip extraction screening process. Circuit simulations show critical global wire inductive effects as well as power and ground inductive noise.

Proceedings ArticleDOI
09 Jul 2000
TL;DR: Using a multilayer-wiring VLSI area model, it is shown how a butterfly fat-tree (or fat-pyramid) with N processors can be laid out in Θ(N) active device area using Θ (log(N)) wiring layers.
Abstract: Modern VLSI processing supports a two-dimensional surface for active devices along with multiple stacked layers of interconnect. With the advent of planarization, the number of layers can be large (6 or 7 in modern designs) and more layers are feasible if the cost is justified. Using a multilayer-wiring VLSI area model, we show how a butterfly fat-tree (or fat-pyramid) with N processors can be laid out in Θ(N) active device area using Θ(log(N)) wiring layers. This result may have practical value in laying out efficient, singlechip multiprocessors and FPGAs. It may also provide a theoretical basis for the rate of layer scaling empirically seen in VLSI designs.

Journal ArticleDOI
TL;DR: In this paper, a closed-form crosstalk model is proposed to predict the signal integrity for high-speed and high-density VLSI circuit design, which can be used to predict signal integrity.
Abstract: A new, simple closed-form crosstalk model is proposed. The model is based on a lumped configuration but effectively includes the distributed properties of interconnect capacitance and resistance. CMOS device nonlinearity is simply approximated as a linear device. That is, the CMOS gate is modeled as a resistance at the driving port and a capacitance at a driven port. Interconnects are modeled as effective resistances and capacitances to match the distributed transmission behavior. The new model shows excellent agreement with SPICE simulations. Further, while existing models do not support the multiple line crosstalk behaviors, our model can be generalized to multiple lines. That is, unlike previously published work, even if the geometrical structures are not identical, it can accurately predict crosstalk. The model is experimentally verified with 0.35-/spl mu/m CMOS process-based interconnect test structures. The new model can be readily implemented in CAD analysis tools. This model can be used to predict the signal integrity for high-speed and high-density VLSI circuit design.