scispace - formally typeset
Search or ask a question

Showing papers on "X-ray lithography published in 2008"


Journal ArticleDOI
16 Jan 2008
TL;DR: In this paper, the authors focus on the benefits of using ICs at the 22-nm node and beyond, and no shortage of ideas on how to accomplish this, although it is not clear that optics will be the most economical in this range; extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo).
Abstract: For all technologies, from flint arrowheads to DNA microarrays, patterning the functional material is crucial. For semiconductor integrated circuits (ICs), it is even more critical than for most technologies because enormous benefits accrue to going smaller, notably higher speed and much less energy consumed per computing function. The consensus is that ICs will continue to be manufactured until at least the ldquo22 nm noderdquo (the linewidth of an equal line-space pattern). Most patterning of ICs takes place on the wafer in two steps: (a) lithography, the patterning of a resist film on top of the functional material; and (b) transferring the resist pattern into the functional material, usually by etching. Here we concentrate on lithography. Optics has continued to be the chosen lithographic route despite its continually forecast demise. A combination of 193-nm radiation, immersion optics, and computer-intensive resolution enhancement technology will probably be used for the 45- and 32-nm nodes. Optical lithography usually requires that we first make a mask and then project the mask pattern onto a resist-coated wafer. Making a qualified mask, although originally dismissed as a ldquosupport technology,rdquo now represents a significant fraction of the total cost of patterning an IC largely because of the measures needed to push resolution so far beyond the normal limit of optical resolution. Thus, although optics has demonstrated features well below 22 nm, it is not clear that optics will be the most economical in this range; nanometer-scale mechanical printing is a strong contender, extreme ultraviolet is still the official front runner, and electron beam lithography, which has demonstrated minimum features less than 10 nm wide, continues to be developed both for mask making and for directly writing on the wafer (also known as ldquomaskless lithographyrdquo). Going from laboratory demonstration to manufacturing technology is enormously expensive ( $1 billion) and for good reason. Just in terms of data rate (mask pattern to resist pattern), today's exposure tools achieve about 10 Tb/s at an allowable error rate of about 1/h; this data rate will double with each generation. In addition, the edge placement precision required will soon be 30 parts per billion. There are so many opportunities for unacceptable performance that making the right decision goes far beyond understanding the underlying physical principles. But the benefits of continuing to be able to manufacture electronics at the 22-nm node and beyond appear to justify the investment, and there is no shortage of ideas on how to accomplish this.

410 citations


Journal ArticleDOI
TL;DR: In this paper, gain-saturated pulses were produced in dense laser-created plasmas by amplifying high-harmonic seed pulses in the 18.9-nm and 13.9nm transitions of nickel-like molybdenum and silver ions, respectively.
Abstract: There is keen interest in generating intense, coherent, soft-X-ray beams for scientific and measurement applications1. Here, we report the demonstration of soft-X-ray lasers with essentially full spatial and temporal coherence operating at wavelengths below 20 nm, and in particular within the 13-nm spectral region, which is important for the manufacturing of computer chips using extreme uv lithography. Gain-saturated pulses were produced in dense laser-created plasmas by amplifying high-harmonic seed pulses in the 18.9-nm and 13.9-nm transitions of nickel-like molybdenum and silver ions, respectively. These results, obtained using an injection seeding technique that can also be applied to improve the temporal coherence of free-electron lasers, extend our ability to generate bright phase-coherent laser beams to significantly shorter wavelengths. Moreover, the experiments were conducted using a practical table-top laser2. These compact soft-X-ray lasers offer new scientific opportunities, such as high-resolution coherent imaging and phase-coherent probing of atomic and molecular systems, in small laboratory environments.

171 citations


Journal ArticleDOI
TL;DR: In this article, the exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power, and post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes.
Abstract: SU8 submicron structures with an aspect ratio of more than 50 are made by soft X-ray lithography using modified spectra of the synchrotron radiation at the ANKA LITHO-1 beamline, which includes a chromium mirror. The X-ray spectrum is additional shaped by a beam stop and a filter to a narrow band in order to reduce the influence of diffraction and photoelectrons. The exposure determination is based on the measured threshold doses for used SU-8 resist layers as well as on the calculated diffractive distribution of an absorbed power. Post-exposure bake of the resist is performed at low temperature and low pressure to avoid changes of the structural size because of shrinkage due to temperature changes and to eliminate a “skin” layer at the top of the resist. SU8 structures with lateral dimensions of 1 μm and heights from 50 to 80 μm have been fabricated defect free with the optimized process.

115 citations


Journal ArticleDOI
TL;DR: In this paper, double patterning is used to expose the photoresist layer twice under one photomask, instead of exposing it once under one mask, as in conventional optical lithography.
Abstract: This paper discusses double patterning lithography for chips' manufacture. This technique was first demonstrated by Belgium's Interuniversity Microelectronics Centre (IMEC) for the 32-nm node, using a combination of double-patterning and immersion lithography. Conceptually, it's simple: instead of exposing the photoresist layer once under one photomask, as in conventional optical lithography, this technique exposes it twice.

65 citations



Journal ArticleDOI
TL;DR: In this article, a diffraction grating with pitch of 5.3μm, Au height of 28μm and an effective area of 60 × 60 mm2 was fabricated for X-ray Talbot interferometer.
Abstract: X-ray imaging is a very important technology in the fields of medical, biological, inspection, material science, etc. However, it is not enough to get the clear X-ray imaging with low absorbance. We have produced a diffraction gratings for obtaining high resolution X-ray phase imaging, such as X-ray Talbot interferometer. In this X-ray Talbot interferometer, diffraction gratings were required to have a fine, high accuracy, high aspect ratio structure. Then, we succeeded to fabricate a high aspect ratio diffraction grating with a pitch of 8 μm and small area using a deep X-ray lithography technique. We discuss that the diffraction gratings having a narrow pitch and an large effective area to obtain imaging size of practical use in medical application. If the pitch of diffraction gratings were narrow, it is expected high resolution imaging for X-ray Talbot interferometer. We succeeded and fabricated the diffraction grating with pitch of 5.3 μm, Au height of 28 μm and an effective area of 60 × 60 mm2.

57 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe the process chain for replication of submicron structures with varying aspect ratios (AR) up to 6 in polymethylmethacrylate (PMMA) by hot embossing.
Abstract: This article describes the process chain for replication of submicron structures with varying aspect ratios (AR) up to 6 in polymethylmethacrylate (PMMA) by hot embossing to show the capability of the entire LIGA process to fabricate structures with these dimensions. Therefore a 4.7 μm thick layer of MicroChem 950k PMMA A11 resist was spin-coated on a 2.3 μm Ti/TiO x membrane. It was patterned with X-ray lithography at the electron storage ring ANKA (2.5 GeV and λ c ≈ 0.4 nm) at a dose of 4 kJ/cm3 using a Si3N4 membrane mask with 2 μm thick gold-absorbers. The samples were developed in GG/BDG and resulted in AR of 6–14. Subsequent nickel plating at 52°C resulted in a 200 μm thick nickel tool of 100 mm diameter, which was used to replicate slit-nozzles and columns in PMMA. Closely packed submicron cavities with AR 6 in the nickel shim were filled to 60% during hot embossing.

47 citations



Journal ArticleDOI
TL;DR: In this article, two types of polarities of high-density templates (pillar tone and hole tone) have been fabricated on fused silica substrates for the UV imprint process and successfully demonstrated template fabrication followed by imprinted results with a pitch of 24nm (1.1Tdots∕in.2) for both tones of templates.
Abstract: Nanoimprint lithography presents unique opportunities for patterned media applications due to its advantages of sub-10nm resolution capability, patterning of a whole disk in a single imprint step with reasonably high throughput, and the relatively low capital cost in comparison to other next generation lithography technologies. However, there are several critical issues that still remain very challenging. This article will briefly discuss these challenges in general and then focus on imprint lithography work including the fabrication of templates and demonstrate the imprinted results. In this work two types of polarities of high-density templates (pillar tone and hole tone) have been fabricated on fused silica substrates for the UV imprint process. The difficulties and limitations in each of the template fabrication processes will be discussed. The authors have successfully demonstrated template fabrication followed by imprinted results with a pitch of 24nm (1.1Tdots∕in.2) for both tones of templates. Ini...

42 citations


Journal ArticleDOI
TL;DR: In this article, a simple method for the 3D microfabrication of complex high-aspect structures in a one mask lithography process is described, where the main idea is to randomize the collimated light by using an optical diffuser to generate intensity distributions in the photoresist.
Abstract: This paper describes a simple method for the three-dimensional (3D) microfabrication of complex high-aspect structures in a one mask lithography process. The method relies on an unconventional way of performing gray-tone lithography. The main idea is to randomize the collimated light by using an optical diffuser to generate intensity distributions in the photoresist. The resist topography is determined by the density of open and opaque squares in the photomask. The resulting 3D resist is then transferred into 3D silicon structures by using reactive ion etching and deep reactive ion etching.

39 citations


Journal ArticleDOI
TL;DR: In this article, a side wall transfer lithography technique was used to pattern decananomeer MOSFETs or nanowires and a correlated line edge roughness leading to very low line width roughness was demonstrated for the patterned gates.

Journal ArticleDOI
TL;DR: In this paper, the fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography, which is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers.
Abstract: The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were fabricated. A silicon rich nitride layer is deposited over the original SiO2 nano-ridges to improve the ridge strength and to achieve a positive tapered shape which is beneficial for nanoimprinting. A replica of the nano-ridges with silicon rich nitride shield is obtained by imprinting the stamp into thermoplastic nanoimprint polymer mr-I 7010E

Journal ArticleDOI
TL;DR: In this paper, an amorphous carbon hard mask with an oxide capping layer was used to improve high-resolution lithography by using a Vecco Dimension X3D Atomic Force Microscope to determine process windows.

Journal ArticleDOI
TL;DR: A multilevel interference lithography process to fabricate 50 nm period gratings using light with a 351.1 nm wavelength that presents a general scheme for overlaying periodic structures and can be used to Fabricate more complex periodic structures.
Abstract: We have developed a multilevel interference lithography process to fabricate 50 nm period gratings using light with a 351.1 nm wavelength. In this process multiple grating levels patterned by interference lithography are overlaid and spatial-phase aligned to a common reference grating using interferometry. Each grating level is patterned with offset phase shifts and etched into a single layer to achieve spatial-frequency multiplication. The effect of the multilayer periodic structure on interference lithography is examined to optimize the fabrication process. This process presents a general scheme for overlaying periodic structures and can be used to fabricate more complex periodic structures.


Journal ArticleDOI
TL;DR: A 4X reduction interferometric lithography technique using extreme ultraviolet (EUV) radiation from a new undulator on the Aladdin storage ring at the Synchrotron Radiation Center of the University of Wisconsin-Madison is reported.
Abstract: We report the initial results from a 4X reduction interferometric lithography technique using extreme ultraviolet (EUV) radiation from a new undulator on the Aladdin storage ring at the Synchrotron Radiation Center of the University of Wisconsin-Madison. We have extended traditional interferometric lithography by using 2nd diffraction orders instead of 1st orders. This change considerably simplifies mask fabrication by reducing the requirements for mask resolution. Interferometric fringes reduced by 4X (from 70 nm half-period grating to 17.5 nm) have been recorded in a 50 nm thick hydrogen silsesquioxane photoresist using 13.4 nm wavelength EUV radiation.

Journal ArticleDOI
TL;DR: In this paper, the residual polydimethylsiloxane (PDMS) found in elastomeric PDMS stamps is demonstrated to lead to unstable prints with sub-micrometer dimensions.
Abstract: Soft lithographic microcontact printing using the residual polydimethylsiloxane (PDMS) found in elastomeric PDMS stamps is demonstrated to lead to unstable prints with sub-micrometer dimensions. The statics and dynamics of the process have been followed with time-resolved atomic force microscopy, imaging ellipsometry, water contact angle measurement, and optical diffraction. It is proposed that this instability places a fundamental limitation on patterning by macromolecular fluids, which is of general relevance to soft lithography and nanoimprint lithography with low viscosity polymers.

Journal ArticleDOI
TL;DR: The fabrication of gold Fresnel zone plates, by a combination of e-beam lithography and electrodeposition, with a 30 nm outermost zone width and a 450 nm-thick structure is described, demonstrating an image resolution of 40 nm.
Abstract: The fabrication of gold Fresnel zone plates, by a combination of e-beam lithography and electrodeposition, with a 30 nm outermost zone width and a 450 nm-thick structure is described. The e-beam lithography process was implemented with a careful evaluation of applied dosage, tests of different bake-out temperatures and durations for the photoresist, and the use of a developer without methylisobutylketone. Electrodeposition with a pulsed current mode and with a specially designed apparatus produced the desired high-aspect-ratio nanostructures. The fabricated zone plates were examined by electron microscopy and their performances were assessed using a transmission X-ray microscope. The results specifically demonstrated an image resolution of 40 nm.

Proceedings ArticleDOI
11 Apr 2008
TL;DR: Corner lithography as discussed by the authors is a fabrication method to create 3D nano structures without the need for nano lithography, which is based on conformal deposition and subsequent isotropic thinning of a thin film.
Abstract: We present a fabrication method to create 3D nano structures without the need for nano lithography. The method, named "corner lithography" is based on conformal deposition and subsequent isotropic thinning of a thin film. The material that remains in sharp concave corners is either used as a mask or directly as structural material. The method is demonstrated for nano scale modifications of pyramidal tips, as well as the creation of suspended nanowires.

Journal ArticleDOI
TL;DR: In this article, a portable transdermal drug delivery system that combines a magnetically actuated micro gear pump with a microneedle array is presented, which can deliver rates that go from 0.1 to 1.2ml/min at gear speed ranging from 1000 to 8500rpm.

Journal ArticleDOI
TL;DR: In this paper, a MeV ion beam programmable proximity aperture lithography system has been constructed at the Accelerator Laboratory of the University of Jyvaskyla, Finland.
Abstract: A novel MeV ion beam programmable proximity aperture lithography system has been constructed at the Accelerator Laboratory of the University of Jyvaskyla, Finland. This facility can be used to fabricate three dimensional microstructures in thick (<100μm) polymer resist such as polymethylmethacrylate. In this method, MeV ion beams from the 1.7 MV pelletron and K130 cyclotron accelerators are collimated to a beam spot of rectangular shape. This shape is defined by a computer-controlled aperture made of a pair of L-shaped Ta blades which are in close proximity to the sample to minimize the penumbra broadening. Here the authors report on development of the system, the controlling software, the calibration procedures, investigations of multiple scattering effects, and present illustrative results using 3MeV He2+4 ion beams for lithography and 56MeV N3+14 ion beams for creating patterns of regions with ion tracks.

Journal ArticleDOI
TL;DR: In this paper, a novel resist freeze process for dual imaging with broad resist and lithography track compatibility was explored, where the VRC temperature is near the Tg of the photoresist polymer, and process times are compatible with track wafer flows.
Abstract: In this study we explore a novel resist freeze process for dual imaging with broad resist and lithography track compatibility. The Vapor Reaction Chamber (VRC) hardware is similar to a HMDS prime chamber. Freeze liquids are selected based on their ability to freeze a positive resist image, and among the materials tested, low boiling point diamines were found to be the most effective. Temperature, freeze material, gas flow rate and time are varied to adjust the process and investigate the impact on dual image profiles. Rapid freezing of the 1st photoresist image is achieved when the VRC temperature is near the Tg of the photoresist polymer, and process times are compatible with track wafer flows. The process has been used to create dual imaging features with dry lithography to achieve 45 nm dense L/S patterns.

Journal ArticleDOI
TL;DR: The resolution limit of present 0.3 NA 13.5 nm wavelength microexposure tools is compared to next-generation lithography research requirements and findings suggest that a successor design is needed for patterning starting at the 16 nm semiconductor process technology node.
Abstract: The resolution limit of present 0.3 NA 13.5 nm wavelength microexposure tools is compared to next-generation lithography research requirements. Findings suggest that a successor design is needed for patterning starting at the 16 nm semiconductor process technology node. A two-mirror 0.5 NA optical design is presented, and performance expectations are established from detailed optical and lithographic simulation. We report on the results from a SEMATECH program to fabricate a projection optic with an ultimate resolution limit of approximately 11 nm.

Journal ArticleDOI
TL;DR: Neutral particle lithography (NPL) is a high resolution, proximity exposure technique where a broad beam of energetic neutral atoms floods a stencil mask and transmitted beamlets transfer the mask pattern to resist on a substrate as mentioned in this paper.
Abstract: Neutral particle lithography (NPL) is a high resolution, proximity exposure technique where a broad beam of energetic neutral atoms floods a stencil mask and transmitted beamlets transfer the mask pattern to resist on a substrate. It preserves the advantages of ion beam lithography, including extremely large depth-of-field, sub-5 nm resist scattering, and the near absence of diffraction, yet is intrinsically immune to charge-related artefacts including line-edge roughness and pattern placement errors due to charge accumulation on the mask and substrate. This paper reviews the principles of NPL, surveys recent advances in the field and discusses applications involving insulating substrates, large proximity gaps or ultra-small features where the approach has particular advantages.

Patent
01 Apr 2008
TL;DR: In this paper, a method for providing regular line patterns using interference lithography and sidewall patterning techniques is provided according to one embodiment, which may include producing regularly spaced parallel lines on a template and then depositing sidewalls on the longitudinal sides of the regular spaced parallel line using sidewall patterns.
Abstract: A method for providing regular line patterns using interference lithography and sidewall patterning techniques is provided according to one embodiment. The method comprising may include producing regularly spaced parallel lines on a template using interference lithography techniques and then depositing sidewalls on the longitudinal sides of the regularly spaced parallel lines using sidewall patterning techniques. Various deposition and etching steps may also be included. The embodiments of the invention may provide regular line patterns with a line density half the interference lithography line density. Various lithography techniques may also be used to crop rounded connecting resulting from the sidewall patterning and/or to alter portions of the line pattern.

Journal ArticleDOI
TL;DR: N nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer is reported, finding the etch durability of this resist to be ∼2 times that of a standard novolac based resist.
Abstract: Traditionally, many lithography resists have used hazardous, environmentally damaging or flammable chemicals as casting solvent and developer. There is now a strong drive towards processes that are safer and more environmentally friendly. We report nanometre-scale patterning of a fullerene molecular resist film with electron beam lithography, using water as casting solvent and developer. Negative tone behaviour is demonstrated after exposure and development. The sensitivity of this resist to 20 keV electrons is 1.5 × 10−2 C cm−2. Arrays of lines with a width of 30–35 nm and pitches of 200 and 400 nm, and arrays of dots with a diameter of 40 nm and a pitch of 200 nm have been patterned at 30 keV. The etch durability of this resist was found to be ~2 times that of a standard novolac based resist. Initial results of the chemical amplification of this material for enhanced sensitivity are also presented.


Patent
29 Aug 2008
TL;DR: In this article, the authors describe methods and systems that provide multiple lithography exposures on a wafer, for example, using interference lithography and optical photolithography. But, they do not specify how to balance the dosage and exposure rates between the multiple exposures to provide the needed exposure.
Abstract: Methods and systems are disclosed that provide multiple lithography exposures on a wafer, for example, using interference lithography and optical photolithography. Various embodiments may balance the dosage and exposure rates between the multiple lithography exposures to provide the needed exposure on the wafer. Other embodiments provide for assist features and/or may apply resolution enhancement to various exposures. In a specific embodiment, a wafer is first exposed using optical photolithography and then exposed using interference lithography.

Journal ArticleDOI
TL;DR: The current state of electron beam and soft X-ray lithography with self-assembled monolayers (SAMs) is reviewed in this paper, where the authors present a review of the state-of-the-art in this area.
Abstract: This contribution reviews current state of electron beam and soft X-ray lithography with a monomolecular resist. Self-assembled monolayers (SAMs) represent versatile resist materials for such a lithography. Depending on the architecture of the SAM constituents, they can serve as both positive and negative resists within framework of conventional lithography, but also be used as multi-purpose templates for Chemical Lithography. The latter technique exploits either selective modification of specific tail groups at the SAM-ambient interface (in the case of aromatic backbone) or irradiation-promoted exchange reaction between the primary SAM and potential molecular substituents (in the case of aliphatic backbone). Due to the monolayer thickness of SAM resists and molecular size of their structural building blocks, patterning down to few nanometers is in principle possible. Currently, the lateral resolution is limited by the grain character of the SAM substrates and parameters of the lithographic setup, with the latter being especially important in the case of soft X-ray lithography.

Patent
Eun Hyoung Cho1, Choa Sung Hoon1, Jin Seung Sohn1, Byung Kyu Lee1, Du Hyun Lee1 
04 Feb 2008
TL;DR: In this article, a process and an apparatus for performing a UV nano-imprint lithography is described, using a polymer pad which allows a uniform application of pressure to a patterned template and an easy removal of a residual resin layer.
Abstract: A process and an apparatus for performing a UV nano-imprint lithography are provided The process uses a polymer pad which allows a uniform application of pressure to a patterned template and an easy removal of a residual resin layer The apparatus includes a tilt and decentering corrector which allows an accurate alignment of layers during the nano-imprint lithography process